📄 prom.vhd
字号:
-- INPUT FILE NAME : irq_led.hex
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
entity prom is port (
address_in : in std_logic_vector (15 downto 0);
data_out : out std_logic_vector (15 downto 0));
end prom;
architecture rtl of prom is
begin
data_out <=
x"940C" when address_in = 16#0000# else
x"00A7" when address_in = 16#0001# else
x"9518" when address_in = 16#0002# else
x"9518" when address_in = 16#0003# else
x"9518" when address_in = 16#0004# else
x"9518" when address_in = 16#0005# else
x"9518" when address_in = 16#0006# else
x"9518" when address_in = 16#0007# else
x"9518" when address_in = 16#0008# else
x"9518" when address_in = 16#0009# else
x"9518" when address_in = 16#000A# else
x"9518" when address_in = 16#000B# else
x"9518" when address_in = 16#000C# else
x"9518" when address_in = 16#000D# else
x"9518" when address_in = 16#000E# else
x"9518" when address_in = 16#000F# else
x"9518" when address_in = 16#0010# else
x"9518" when address_in = 16#0011# else
x"9518" when address_in = 16#0012# else
x"9518" when address_in = 16#0013# else
x"9518" when address_in = 16#0014# else
x"9518" when address_in = 16#0015# else
x"9518" when address_in = 16#0016# else
x"9518" when address_in = 16#0017# else
x"9518" when address_in = 16#0018# else
x"9518" when address_in = 16#0019# else
x"9518" when address_in = 16#001A# else
x"9518" when address_in = 16#001B# else
x"9518" when address_in = 16#001C# else
x"9518" when address_in = 16#001D# else
x"9518" when address_in = 16#001E# else
x"9518" when address_in = 16#001F# else
x"940C" when address_in = 16#0020# else
x"005A" when address_in = 16#0021# else
x"9518" when address_in = 16#0022# else
x"9518" when address_in = 16#0023# else
x"940C" when address_in = 16#0024# else
x"00A6" when address_in = 16#0025# else
x"940C" when address_in = 16#0026# else
x"009F" when address_in = 16#0027# else
x"940C" when address_in = 16#0028# else
x"00A0" when address_in = 16#0029# else
x"9518" when address_in = 16#002A# else
x"9518" when address_in = 16#002B# else
x"9518" when address_in = 16#002C# else
x"9518" when address_in = 16#002D# else
x"9518" when address_in = 16#002E# else
x"9518" when address_in = 16#002F# else
x"063F" when address_in = 16#0030# else
x"4F5B" when address_in = 16#0031# else
x"6D66" when address_in = 16#0032# else
x"077D" when address_in = 16#0033# else
x"6F7F" when address_in = 16#0034# else
x"7C77" when address_in = 16#0035# else
x"5E39" when address_in = 16#0036# else
x"7179" when address_in = 16#0037# else
x"0004" when address_in = 16#0038# else
x"0060" when address_in = 16#0039# else
x"0000" when address_in = 16#003A# else
x"E007" when address_in = 16#003B# else
x"BF03" when address_in = 16#003C# else
x"E001" when address_in = 16#003D# else
x"BF07" when address_in = 16#003E# else
x"E000" when address_in = 16#003F# else
x"BF06" when address_in = 16#0040# else
x"BF02" when address_in = 16#0041# else
x"EF0F" when address_in = 16#0042# else
x"BB0A" when address_in = 16#0043# else
x"BB07" when address_in = 16#0044# else
x"EA0A" when address_in = 16#0045# else
x"BB08" when address_in = 16#0046# else
x"E20F" when address_in = 16#0047# else
x"B909" when address_in = 16#0048# else
x"E408" when address_in = 16#0049# else
x"B90A" when address_in = 16#004A# else
x"9100" when address_in = 16#004B# else
x"0062" when address_in = 16#004C# else
x"940E" when address_in = 16#004D# else
x"0051" when address_in = 16#004E# else
x"9478" when address_in = 16#004F# else
x"CFFF" when address_in = 16#0050# else
x"E620" when address_in = 16#0051# else
x"E030" when address_in = 16#0052# else
x"2FE0" when address_in = 16#0053# else
x"E0F0" when address_in = 16#0054# else
x"0FE2" when address_in = 16#0055# else
x"1FF3" when address_in = 16#0056# else
x"95C8" when address_in = 16#0057# else
x"BA0B" when address_in = 16#0058# else
x"9508" when address_in = 16#0059# else
x"93FA" when address_in = 16#005A# else
x"93EA" when address_in = 16#005B# else
x"923A" when address_in = 16#005C# else
x"922A" when address_in = 16#005D# else
x"921A" when address_in = 16#005E# else
x"920A" when address_in = 16#005F# else
x"937A" when address_in = 16#0060# else
x"936A" when address_in = 16#0061# else
x"935A" when address_in = 16#0062# else
x"934A" when address_in = 16#0063# else
x"933A" when address_in = 16#0064# else
x"932A" when address_in = 16#0065# else
x"931A" when address_in = 16#0066# else
x"930A" when address_in = 16#0067# else
x"940E" when address_in = 16#0068# else
x"00C7" when address_in = 16#0069# else
x"B78F" when address_in = 16#006A# else
x"E6E0" when address_in = 16#006B# else
x"E0F0" when address_in = 16#006C# else
x"8100" when address_in = 16#006D# else
x"8111" when address_in = 16#006E# else
x"5F0F" when address_in = 16#006F# else
x"4F1F" when address_in = 16#0070# else
x"8311" when address_in = 16#0071# else
x"8300" when address_in = 16#0072# else
x"81A0" when address_in = 16#0073# else
x"81B1" when address_in = 16#0074# else
x"9712" when address_in = 16#0075# else
x"F4A9" when address_in = 16#0076# else
x"83F0" when address_in = 16#0077# else
x"83F1" when address_in = 16#0078# else
x"8103" when address_in = 16#0079# else
x"2300" when address_in = 16#007A# else
x"F421" when address_in = 16#007B# else
x"8102" when address_in = 16#007C# else
x"B90C" when address_in = 16#007D# else
x"E001" when address_in = 16#007E# else
x"8303" when address_in = 16#007F# else
x"8102" when address_in = 16#0080# else
x"940E" when address_in = 16#0081# else
x"0051" when address_in = 16#0082# else
x"E6E0" when address_in = 16#0083# else
x"E0F0" when address_in = 16#0084# else
x"8102" when address_in = 16#0085# else
x"9503" when address_in = 16#0086# else
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -