⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 aes128_fast.vhd

📁 Consecutive AES core Description of project.. Features - AES encoder - 128/192/256 bit -
💻 VHD
📖 第 1 页 / 共 2 页
字号:
        s_02(0) <= sbox_val(s2_buf(0));    s_02(1) <= sbox_val(s2_buf(1));    s_02(2) <= sbox_val(s2_buf(2));    s_02(3) <= sbox_val(s2_buf(3));        s_03(0) <= sbox_val(s3_buf(0));    s_03(1) <= sbox_val(s3_buf(1));    s_03(2) <= sbox_val(s3_buf(2));    s_03(3) <= sbox_val(s3_buf(3));  else    s_00(0) <= inv_sbox_val(s0_buf(0));    s_00(1) <= inv_sbox_val(s0_buf(1));    s_00(2) <= inv_sbox_val(s0_buf(2));    s_00(3) <= inv_sbox_val(s0_buf(3));        s_01(0) <= inv_sbox_val(s1_buf(0));    s_01(1) <= inv_sbox_val(s1_buf(1));    s_01(2) <= inv_sbox_val(s1_buf(2));    s_01(3) <= inv_sbox_val(s1_buf(3));        s_02(0) <= inv_sbox_val(s2_buf(0));    s_02(1) <= inv_sbox_val(s2_buf(1));    s_02(2) <= inv_sbox_val(s2_buf(2));    s_02(3) <= inv_sbox_val(s2_buf(3));        s_03(0) <= inv_sbox_val(s3_buf(0));    s_03(1) <= inv_sbox_val(s3_buf(1));    s_03(2) <= inv_sbox_val(s3_buf(2));    s_03(3) <= inv_sbox_val(s3_buf(3));  end if;end process;  -----------SHIFT ROWS TRANSFORMATION--------------------------------------process(s_00,s_01,s_02,s_03,mode)begin  if(mode = '1') then    r_00 <= (s_00(0),s_01(1),s_02(2),s_03(3));    r_01 <= (s_01(0),s_02(1),s_03(2),s_00(3));    r_02 <= (s_02(0),s_03(1),s_00(2),s_01(3));    r_03 <= (s_03(0),s_00(1),s_01(2),s_02(3));  else    r_00 <= (s_00(0),s_03(1),s_02(2),s_01(3));     r_01 <= (s_01(0),s_00(1),s_03(2),s_02(3));     r_02 <= (s_02(0),s_01(1),s_00(2),s_03(3));     r_03 <= (s_03(0),s_02(1),s_01(2),s_00(3));   end if;end process;  -----------MIX COLUMNS TRANSFORMATION--------------------------------------        mix_col_array <= mix_cols_routine(r_00,r_01,r_02,r_03,mode);mixcol_0 <= (mix_col_array(0 to 7),mix_col_array(8 to 15),mix_col_array(16 to 23),mix_col_array(24 to 31));mixcol_1 <= (mix_col_array(32 to 39),mix_col_array(40 to 47),mix_col_array(48 to 55),mix_col_array(56 to 63));mixcol_2 <= (mix_col_array(64 to 71),mix_col_array(72 to 79),mix_col_array(80 to 87),mix_col_array(88 to 95));mixcol_3 <= (mix_col_array(96 to 103),mix_col_array(104 to 111),mix_col_array(112 to 119),mix_col_array(120 to 127));mixcol_key_array <= mix_cols_routine(new_key0_d1,new_key1_d1,new_key2_d1,new_key3_d1,mode);mixcol_key_0 <= (mixcol_key_array(0 to 7),mixcol_key_array(8 to 15),mixcol_key_array(16 to 23),mixcol_key_array(24 to 31));mixcol_key_1 <= (mixcol_key_array(32 to 39),mixcol_key_array(40 to 47),mixcol_key_array(48 to 55),mixcol_key_array(56 to 63));mixcol_key_2 <= (mixcol_key_array(64 to 71),mixcol_key_array(72 to 79),mixcol_key_array(80 to 87),mixcol_key_array(88 to 95));mixcol_key_3 <= (mixcol_key_array(96 to 103),mixcol_key_array(104 to 111),mixcol_key_array(112 to 119),mixcol_key_array(120 to 127));---------ADD ROUND KEY STEP-------------------------------------------------expand_key:  key_expander              port map(                          clk       => clk,                          reset     => reset,                          key_in_c0 => key_reg0,                          key_in_c1 => key_reg1,                          key_in_c2 => key_reg2,                          key_in_c3 => key_reg3,                          count     => round_cnt,                          mode      => mode,                          keyout_c0 => new_key0,                          keyout_c1 => new_key1,                          keyout_c2 => new_key2,                          keyout_c3 => new_key3                       );process(clk,reset)  ---- registered to increase speedbegin  if(reset = '1') then    new_key0_d1 <= (others =>(others => '0'));    new_key1_d1 <= (others =>(others => '0'));    new_key2_d1 <= (others =>(others => '0'));    new_key3_d1 <= (others =>(others => '0'));  elsif rising_edge(clk) then      new_key0_d1 <= new_key0;    new_key1_d1 <= new_key1;    new_key2_d1 <= new_key2;    new_key3_d1 <= new_key3;  end if;end process;-- Previous round output as input to next roundnext_round_data_0 <= (pr_data_0(0) xor key_select_0(0),pr_data_0(1) xor key_select_0(1),pr_data_0(2) xor key_select_0(2),pr_data_0(3) xor key_select_0(3)); next_round_data_1 <= (pr_data_1(0) xor key_select_1(0),pr_data_1(1) xor key_select_1(1),pr_data_1(2) xor key_select_1(2),pr_data_1(3) xor key_select_1(3));  next_round_data_2 <= (pr_data_2(0) xor key_select_2(0),pr_data_2(1) xor key_select_2(1),pr_data_2(2) xor key_select_2(2),pr_data_2(3) xor key_select_2(3));  next_round_data_3 <= (pr_data_3(0) xor key_select_3(0),pr_data_3(1) xor key_select_3(1),pr_data_3(2) xor key_select_3(2),pr_data_3(3) xor key_select_3(3));  -- Muxing for choosing data for the last roundpr_data_0 <= r_00 when round_cnt=11 else             mixcol_0;pr_data_1 <= r_01 when round_cnt=11 else             mixcol_1;pr_data_2 <= r_02 when round_cnt=11 else             mixcol_2;pr_data_3 <= r_03 when round_cnt=11 else             mixcol_3;             key_select_0 <= new_key0_d1 when (mode = '1') else                mixcol_key_0 when(mode = '0' and round_cnt < 11) else                new_key0_d1;key_select_1 <= new_key1_d1 when (mode = '1') else                mixcol_key_1 when(mode = '0' and round_cnt < 11) else                new_key1_d1;key_select_2 <= new_key2_d1 when (mode = '1') else                mixcol_key_2 when(mode = '0' and round_cnt < 11) else                new_key2_d1;key_select_3 <= new_key3_d1 when (mode = '1') else                mixcol_key_3 when(mode = '0' and round_cnt < 11) else                new_key3_d1;done <= done_d2;             -- Registering start and load             process(clk,reset)begin  if(reset = '1') then    load_d1  <= '0';    start_d1 <= '0';    start_d2 <= '0';  elsif rising_edge(clk) then    load_d1  <= load;    start_d1 <= start;    start_d2 <= start_d1;  end if;end process;  -- Register outputs at end of each roundprocess(clk,reset)begin  if(reset = '1') then    s0_buf <= (others =>(others => '0'));    s1_buf <= (others =>(others => '0'));    s2_buf <= (others =>(others => '0'));    s3_buf <= (others =>(others => '0'));  elsif rising_edge(clk) then    if(round_cnt = 0 or round_cnt = 1) then      s0_buf <= s0;      s1_buf <= s1;      s2_buf <= s2;      s3_buf <= s3;    else      s0_buf <= next_round_data_0;      s1_buf <= next_round_data_1;      s2_buf <= next_round_data_2;      s3_buf <= next_round_data_3;    end if;  end if;  end process;  -- Initiator processprocess(clk,reset)begin  if(reset = '1') then    round_cnt <= 0;    flag_cnt <= '0';  elsif rising_edge(clk) then    if((start_d2 = '1' and start_d1 = '0') or flag_cnt = '1') then      if(round_cnt < 11) then        round_cnt <= round_cnt + 1;        flag_cnt <= '1';      else          round_cnt <= 0;        flag_cnt <= '0';      end if;      end if;  end if;  end process;  -- Completion signalling processprocess(clk,reset)begin  if(reset = '1') then    done_d1 <= '0';    done_d2 <= '0';  elsif rising_edge(clk) then    if(start_d2 = '1' and start_d1 = '0') then      done_d1 <= '0';      done_d2 <= '0';    elsif(round_cnt = 10) then      done_d1 <= '1';    end if;      done_d2 <= done_d1;  end if;end process;  -- Output assignment process        process(clk,reset)begin  if(reset= '1') then    data_out <= (others => '0');  elsif rising_edge(clk) then      if(done_d1 = '1' and done_d2 = '0') then        data_out <= (next_round_data_0(0) & next_round_data_0(1) & next_round_data_0(2) & next_round_data_0(3) &                     next_round_data_1(0) & next_round_data_1(1) & next_round_data_1(2) & next_round_data_1(3) &                     next_round_data_2(0) & next_round_data_2(1) & next_round_data_2(2) & next_round_data_2(3) &                     next_round_data_3(0) & next_round_data_3(1) & next_round_data_3(2) & next_round_data_3(3));    end if;  end if;end process;end mapping;                                

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -