⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 aes_package.vhd

📁 Consecutive AES core Description of project.. Features - AES encoder - 128/192/256 bit -
💻 VHD
📖 第 1 页 / 共 3 页
字号:
  when "11010001" => data := X"3E";  when "11010010" => data := X"B5";  when "11010011" => data := X"66";  when "11010100" => data := X"48";  when "11010101" => data := X"03";  when "11010110" => data := X"F6";  when "11010111" => data := X"0E";  when "11011000" => data := X"61";  when "11011001" => data := X"35";  when "11011010" => data := X"57";  when "11011011" => data := X"B9";  when "11011100" => data := X"86";  when "11011101" => data := X"C1";  when "11011110" => data := X"1D";  when "11011111" => data := X"9E";  when "11100000" => data := X"E1";  when "11100001" => data := X"F8";  when "11100010" => data := X"98";  when "11100011" => data := X"11";  when "11100100" => data := X"69";  when "11100101" => data := X"D9";  when "11100110" => data := X"8E";  when "11100111" => data := X"94";  when "11101000" => data := X"9B";  when "11101001" => data := X"1E";  when "11101010" => data := X"87";  when "11101011" => data := X"E9";  when "11101100" => data := X"CE";  when "11101101" => data := X"55";  when "11101110" => data := X"28";  when "11101111" => data := X"DF";  when "11110000" => data := X"8C";  when "11110001" => data := X"A1";  when "11110010" => data := X"89";  when "11110011" => data := X"0D";  when "11110100" => data := X"BF";  when "11110101" => data := X"E6";  when "11110110" => data := X"42";  when "11110111" => data := X"68";  when "11111000" => data := X"41";  when "11111001" => data := X"99";  when "11111010" => data := X"2D";  when "11111011" => data := X"0F";  when "11111100" => data := X"B0";  when "11111101" => data := X"54";  when "11111110" => data := X"BB";  when "11111111" => data := X"16";  when others => null;  end case;data_stdlogic := to_StdLogicVector(data);return data_stdlogic;end function sbox_val;function inv_sbox_val(address: std_logic_vector(7 downto 0)) return std_logic_vector isvariable inv_data: bit_vector(7 downto 0);variable inv_data_stdlogic: std_logic_vector(7 downto 0);begincase address is  when "00000000" => inv_data := X"52";  when "00000001" => inv_data := X"09";  when "00000010" => inv_data := X"6a";  when "00000011" => inv_data := X"d5";  when "00000100" => inv_data := X"30";  when "00000101" => inv_data := X"36";  when "00000110" => inv_data := X"a5";  when "00000111" => inv_data := X"38";  when "00001000" => inv_data := X"bf";  when "00001001" => inv_data := X"40";  when "00001010" => inv_data := X"a3";  when "00001011" => inv_data := X"9e";  when "00001100" => inv_data := X"81";  when "00001101" => inv_data := X"f3";  when "00001110" => inv_data := X"d7";  when "00001111" => inv_data := X"fb";  when "00010000" => inv_data := X"7c";  when "00010001" => inv_data := X"e3";  when "00010010" => inv_data := X"39";  when "00010011" => inv_data := X"82";  when "00010100" => inv_data := X"9b";  when "00010101" => inv_data := X"2f";  when "00010110" => inv_data := X"ff";  when "00010111" => inv_data := X"87";  when "00011000" => inv_data := X"34";  when "00011001" => inv_data := X"8e";  when "00011010" => inv_data := X"43";  when "00011011" => inv_data := X"44";  when "00011100" => inv_data := X"c4";  when "00011101" => inv_data := X"de";  when "00011110" => inv_data := X"e9";  when "00011111" => inv_data := X"cb";  when "00100000" => inv_data := X"54";  when "00100001" => inv_data := X"7b";  when "00100010" => inv_data := X"94";  when "00100011" => inv_data := X"32";  when "00100100" => inv_data := X"a6";  when "00100101" => inv_data := X"c2";  when "00100110" => inv_data := X"23";  when "00100111" => inv_data := X"3d";  when "00101000" => inv_data := X"ee";  when "00101001" => inv_data := X"4c";  when "00101010" => inv_data := X"95";  when "00101011" => inv_data := X"0b";  when "00101100" => inv_data := X"42";  when "00101101" => inv_data := X"fa";  when "00101110" => inv_data := X"c3";  when "00101111" => inv_data := X"4e";  when "00110000" => inv_data := X"08";  when "00110001" => inv_data := X"2e";  when "00110010" => inv_data := X"a1";  when "00110011" => inv_data := X"66";  when "00110100" => inv_data := X"28";  when "00110101" => inv_data := X"d9";  when "00110110" => inv_data := X"24";  when "00110111" => inv_data := X"b2";  when "00111000" => inv_data := X"76";  when "00111001" => inv_data := X"5b";  when "00111010" => inv_data := X"a2";  when "00111011" => inv_data := X"49";  when "00111100" => inv_data := X"6d";  when "00111101" => inv_data := X"8b";  when "00111110" => inv_data := X"d1";  when "00111111" => inv_data := X"25";  when "01000000" => inv_data := X"72";  when "01000001" => inv_data := X"f8";  when "01000010" => inv_data := X"f6";  when "01000011" => inv_data := X"64";  when "01000100" => inv_data := X"86";  when "01000101" => inv_data := X"68";  when "01000110" => inv_data := X"98";  when "01000111" => inv_data := X"16";  when "01001000" => inv_data := X"d4";  when "01001001" => inv_data := X"a4";  when "01001010" => inv_data := X"5c";  when "01001011" => inv_data := X"cc";  when "01001100" => inv_data := X"5d";  when "01001101" => inv_data := X"65";  when "01001110" => inv_data := X"b6";  when "01001111" => inv_data := X"92";  when "01010000" => inv_data := X"6c";  when "01010001" => inv_data := X"70";  when "01010010" => inv_data := X"48";  when "01010011" => inv_data := X"50";  when "01010100" => inv_data := X"fd";  when "01010101" => inv_data := X"ed";  when "01010110" => inv_data := X"b9";  when "01010111" => inv_data := X"da";  when "01011000" => inv_data := X"5e";  when "01011001" => inv_data := X"15";  when "01011010" => inv_data := X"46";  when "01011011" => inv_data := X"57";  when "01011100" => inv_data := X"a7";  when "01011101" => inv_data := X"8d";  when "01011110" => inv_data := X"9d";  when "01011111" => inv_data := X"84";  when "01100000" => inv_data := X"90";  when "01100001" => inv_data := X"d8";  when "01100010" => inv_data := X"ab";  when "01100011" => inv_data := X"00";  when "01100100" => inv_data := X"8c";  when "01100101" => inv_data := X"bc";  when "01100110" => inv_data := X"d3";  when "01100111" => inv_data := X"0a";  when "01101000" => inv_data := X"f7";  when "01101001" => inv_data := X"e4";  when "01101010" => inv_data := X"58";  when "01101011" => inv_data := X"05";  when "01101100" => inv_data := X"b8";  when "01101101" => inv_data := X"b3";  when "01101110" => inv_data := X"45";  when "01101111" => inv_data := X"06";  when "01110000" => inv_data := X"d0";  when "01110001" => inv_data := X"2c";  when "01110010" => inv_data := X"1e";  when "01110011" => inv_data := X"8f";  when "01110100" => inv_data := X"ca";  when "01110101" => inv_data := X"3f";  when "01110110" => inv_data := X"0f";  when "01110111" => inv_data := X"02";  when "01111000" => inv_data := X"c1";  when "01111001" => inv_data := X"af";  when "01111010" => inv_data := X"bd";  when "01111011" => inv_data := X"03";  when "01111100" => inv_data := X"01";  when "01111101" => inv_data := X"13";  when "01111110" => inv_data := X"8a";  when "01111111" => inv_data := X"6b";  when "10000000" => inv_data := X"3a";  when "10000001" => inv_data := X"91";  when "10000010" => inv_data := X"11";  when "10000011" => inv_data := X"41";  when "10000100" => inv_data := X"4f";  when "10000101" => inv_data := X"67";  when "10000110" => inv_data := X"dc";  when "10000111" => inv_data := X"ea";  when "10001000" => inv_data := X"97";  when "10001001" => inv_data := X"f2";  when "10001010" => inv_data := X"cf";  when "10001011" => inv_data := X"ce";  when "10001100" => inv_data := X"f0";  when "10001101" => inv_data := X"b4";  when "10001110" => inv_data := X"e6";  when "10001111" => inv_data := X"73";  when "10010000" => inv_data := X"96";  when "10010001" => inv_data := X"ac";  when "10010010" => inv_data := X"74";  when "10010011" => inv_data := X"22";  when "10010100" => inv_data := X"e7";  when "10010101" => inv_data := X"ad";  when "10010110" => inv_data := X"35";  when "10010111" => inv_data := X"85";  when "10011000" => inv_data := X"e2";  when "10011001" => inv_data := X"f9";  when "10011010" => inv_data := X"37";  when "10011011" => inv_data := X"e8";  when "10011100" => inv_data := X"1c";  when "10011101" => inv_data := X"75";  when "10011110" => inv_data := X"df";  when "10011111" => inv_data := X"6e";  when "10100000" => inv_data := X"47";  when "10100001" => inv_data := X"f1";  when "10100010" => inv_data := X"1a";  when "10100011" => inv_data := X"71";  when "10100100" => inv_data := X"1d";  when "10100101" => inv_data := X"29";  when "10100110" => inv_data := X"c5";  when "10100111" => inv_data := X"89";  when "10101000" => inv_data := X"6f";  when "10101001" => inv_data := X"b7";  when "10101010" => inv_data := X"62";  when "10101011" => inv_data := X"0e";  when "10101100" => inv_data := X"aa";  when "10101101" => inv_data := X"18";  when "10101110" => inv_data := X"be";  when "10101111" => inv_data := X"1b";  when "10110000" => inv_data := X"fc";  when "10110001" => inv_data := X"56";  when "10110010" => inv_data := X"3e";  when "10110011" => inv_data := X"4b";  when "10110100" => inv_data := X"c6";  when "10110101" => inv_data := X"d2";  when "10110110" => inv_data := X"79";  when "10110111" => inv_data := X"20";  when "10111000" => inv_data := X"9a";  when "10111001" => inv_data := X"db";  when "10111010" => inv_data := X"c0";  when "10111011" => inv_data := X"fe";  when "10111100" => inv_data := X"78";  when "10111101" => inv_data := X"cd";  when "10111110" => inv_data := X"5a";  when "10111111" => inv_data := X"f4";  when "11000000" => inv_data := X"1f";  when "11000001" => inv_data := X"dd";  when "11000010" => inv_data := X"a8";  when "11000011" => inv_data := X"33";  when "11000100" => inv_data := X"88";  when "11000101" => inv_data := X"07";  when "11000110" => inv_data := X"c7";  when "11000111" => inv_data := X"31";  when "11001000" => inv_data := X"b1";  when "11001001" => inv_data := X"12";  when "11001010" => inv_data := X"10";  when "11001011" => inv_data := X"59";  when "11001100" => inv_data := X"27";  when "11001101" => inv_data := X"80";  when "11001110" => inv_data := X"ec";  when "11001111" => inv_data := X"5f";  when "11010000" => inv_data := X"60";  when "11010001" => inv_data := X"51";  when "11010010" => inv_data := X"7f";  when "11010011" => inv_data := X"a9";  when "11010100" => inv_data := X"19";  when "11010101" => inv_data := X"b5";  when "11010110" => inv_data := X"4a";  when "11010111" => inv_data := X"0d";  when "11011000" => inv_data := X"2d";  when "11011001" => inv_data := X"e5";  when "11011010" => inv_data := X"7a";  when "11011011" => inv_data := X"9f";  when "11011100" => inv_data := X"93";  when "11011101" => inv_data := X"c9";  when "11011110" => inv_data := X"9c";  when "11011111" => inv_data := X"ef";  when "11100000" => inv_data := X"a0";  when "11100001" => inv_data := X"e0";  when "11100010" => inv_data := X"3b";  when "11100011" => inv_data := X"4d";  when "11100100" => inv_data := X"ae";  when "11100101" => inv_data := X"2a";  when "11100110" => inv_data := X"f5";  when "11100111" => inv_data := X"b0";  when "11101000" => inv_data := X"c8";  when "11101001" => inv_data := X"eb";  when "11101010" => inv_data := X"bb";  when "11101011" => inv_data := X"3c";  when "11101100" => inv_data := X"83";

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -