📄 jieguo.vhd
字号:
--显示输出,包括停车位个数和点阵信息
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity jieguo is
port(
clk : in std_logic;
cheweikong: in std_logic;
ledms0 : in std_logic_vector(7 downto 0);
ledmr0 : in std_logic_vector(7 downto 0);
ledmg0 : in std_logic_vector(7 downto 0);
shumaguan0 : in std_logic_vector(6 downto 0);
ledms1 : in std_logic_vector(7 downto 0);
ledmr1 : in std_logic_vector(7 downto 0);
shumaguan1 : in std_logic_vector(6 downto 0);
ledms : out std_logic_vector(7 downto 0);
ledmr : out std_logic_vector(7 downto 0);
ledmg : out std_logic_vector(7 downto 0);
shumaguan : out std_logic_vector(6 downto 0)
);
end jieguo;
architecture jieguo of jieguo is
begin
process(clk)
begin
if(cheweikong='1')then
ledms<=ledms0;
ledmr<=ledmr0;
ledmg<=ledmg0;
shumaguan<=shumaguan0;
else
ledms<=ledms1;
ledmr<=ledmr1;
ledmg<="00000000";
shumaguan<=shumaguan1;
end if;
end process;
end jieguo;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -