⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ncverilog.log

📁 i2c rtl code , document, simulation
💻 LOG
字号:
ncverilog: v03.40.(b001): (c) Copyright 1995 - 2001 Cadence Design Systems, Inc.ncverilog: v03.40.(b001): Started on Jun 15, 2002 at 13:36:36ncverilog	+access+rwc	+linedebug	+define+"WAVES"	+incdir+../../../../bench/verilog	+incdir+../../../../rtl/verilog	+libext+.v	-y	/tools/synopsys/dw/sim_ver/	../../../../rtl/verilog/i2c_master_bit_ctrl.v	../../../../rtl/verilog/i2c_master_byte_ctrl.v	../../../../rtl/verilog/i2c_master_top.v	../../../../bench/verilog/i2c_slave_model.v	../../../../bench/verilog/wb_master_model.v	../../../../bench/verilog/tst_bench_top.vncverilog: *W,BADPRF: The +linedebug option may have an adverse performance impact.file: ../../../../rtl/verilog/i2c_master_bit_ctrl.v	module worklib.i2c_master_bit_ctrl:v (up-to-date)		errors: 0, warnings: 0file: ../../../../rtl/verilog/i2c_master_byte_ctrl.v	module worklib.i2c_master_byte_ctrl:v (up-to-date)		errors: 0, warnings: 0file: ../../../../rtl/verilog/i2c_master_top.v	module worklib.i2c_master_top:v (up-to-date)		errors: 0, warnings: 0file: ../../../../bench/verilog/i2c_slave_model.v	module worklib.i2c_slave_model:v (up-to-date)		errors: 0, warnings: 0file: ../../../../bench/verilog/wb_master_model.v	module worklib.wb_master_model:v (up-to-date)		errors: 0, warnings: 0file: ../../../../bench/verilog/tst_bench_top.v	module worklib.tst_bench_top:v		errors: 0, warnings: 0ncvlog: *W,LIBNOU: Library "/tools/synopsys/dw/sim_ver/" given but not used.	Total errors/warnings found outside modules and primitives:		errors: 0, warnings: 1		Caching library 'worklib' ....... Done	Elaborating the design hierarchy:	Building instance overlay tables: .................... Done	Generating native compiled code:		worklib.tst_bench_top:v <0x7fb52c98>			streams:  12, words: 59009	Loading native compiled code:     .................... Done	Building instance specific data structures.	Design hierarchy summary:		                  Instances  Unique		Modules:                  6       6		Primitives:               2       1		Registers:               68      68		Scalar wires:            48       -		Expanded wires:          36       2		Vectored wires:           6       -		Always blocks:           23      23		Initial blocks:           3       3		Cont. assignments:       28      28		Pseudo assignments:      11      14		Simulation timescale:  10ps	Writing initial simulation snapshot: worklib.tst_bench_top:vLoading snapshot worklib.tst_bench_top:v .................... Donencsim> source /cds/tools/inca/files/ncsimrcncsim> runINFO: Signal dump enabled ...status:                    0 Testbench startedINFO: WISHBONE MASTER MODEL INSTANTIATED (tst_bench_top.u0)status:                19500 done resetstatus:                23600 programmed registersstatus:                25600 verified registersstatus:                27600 enabled corestatus:                30600 generate 'start', write cmd a0 (slave address+write)status:              2582600 tip==0status:              2585600 write slave memory address 01status:              4877600 tip==0status:              4880600 write data a5status:              7172600 tip==0status:              7175600 write next data 5a, generate 'stop'status:              9467600 tip==0status:             19467600 wait 100usstatus:             19470600 generate 'start', write cmd a0 (slave address+write)status:             22014600 tip==0status:             22017600 write slave address 01status:             24309600 tip==0status:             24312600 generate 'repeated start', write cmd a1 (slave address+read)status:             26858600 tip==0status:             26860600 read + ackstatus:             29154600 tip==0status:             29158600 read + ackstatus:             31448600 tip==0status:             31452600 read + ackstatus:             33744600 tip==0status:             33746600 received xx from 3rd read addressstatus:             33748600 read + nackstatus:             36038600 tip==0status:             36040600 received xx from 4th read addressstatus:             36043600 generate 'start', write cmd a0 (slave address+write). Check invalid addressstatus:             38589600 tip==0status:             38592600 write slave memory address 10status:             40884600 tip==0status:             40884600 Check for nackstatus:             40886600 generate 'stop'status:             40888600 tip==0status:             43388600 Testbench doneSimulation stopped via $stop(1) at time 433886 NS + 0/mnt/pooh/projects/I2C/bench/verilog/tst_bench_top.v:427 			$stop;ncsim> exitncverilog: v03.40.(b001): Exiting on Jun 15, 2002 at 13:47:48  (total: 00:11:12)

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -