📄 usb_new_vpb_wrapper_ent.vhdl
字号:
--------------------------------------------------------------------------------
-- P H I L I P S C O M P A N Y R E S T R I C T E D
--
-- Copyright (c) 1998.
--
-- Philips Electronics N.V.
--
-- Philips Semiconductors
-- Interconnectivity and Processor Peripherals group
-- Bangalore,India
-- All rights reserved. Reproduction in whole or in part is prohibited
-- without the written permission of the copyright owner.
--
--------------------------------------------------------------------------------
--
--
-- File : usb_new_vpb_wrapper_ent.vhdl
--
-- Module : VPB_WRAPPER
--
-- Project : VPB bus interface to USB1.1 device (USBFS22)
--
-- Author :
--
-- Description : The entity of VPB WRAPPER module
--
-- Contact address : sanjeev@blr.sc.philips.com
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity VPB_WRAPPER is
port(
-- Interface to VPB bus
PSEL: in std_logic; -- Peripheral select
PENABLE: in std_logic; -- Peripheral enable
PADDR: in std_logic_vector(7 downto 0); -- Peripheral address
PWRITE: in std_logic; -- '1' write, '0' read
PRESETn: in std_logic; -- Reset
PCLK: in std_logic; -- CLock
PWDATA: in std_logic_vector(31 downto 0); -- Write data
PRDATA: out std_logic_vector(31 downto 0); -- Read data
PRDY: out std_logic; -- Wait state
-- Interface to PVCI_CNTRL module
r_data: in std_logic_vector(31 downto 0); -- read data
gnt: in std_logic; -- Grant
pvci_reset_n: out std_logic; -- PVCI reset
req: out std_logic; -- Request
address: out std_logic_vector(7 downto 0); -- Address
rnw: out std_logic; -- '0' write, '1' read
w_data: out std_logic_vector(31 downto 0); -- PVCI write data
error: in std_logic
);
end VPB_WRAPPER;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -