📄 lfsr_generic_test.vhd
字号:
-- EE 552 Student Application Note---- Autononmous Linear Feedback Shift Register -- Instantiation Example with Test Resultslibrary IEEE;use IEEE.STD_Logic_1164.all;library work;use work.LFSR_pkg.all;entity LFSR_generic_test is port ( clock, resetn: std_logic; load1: in std_logic; seed1: in std_logic_vector(3 downto 0); parallel_out1: out std_logic_vector(3 downto 0); serial_out1: out std_logic; load2: in std_logic; seed2: in std_logic_vector(9 downto 0); parallel_out2: out std_logic_vector(9 downto 0); serial_out2: out std_logic );end entity LFSR_generic_test;architecture test of LFSR_generic_test isbegin -- instantiate a 4 bit LFSR LFSR1: LFSR_GENERIC generic map ( Width => 4 ) port map ( clock => clock, resetn => resetn, load => load1, seed => seed1, parallel_out => parallel_out1, serial_out => serial_out1 ); -- instantiate a 10 bit LFSR LFSR2: LFSR_GENERIC generic map ( Width => 10 ) port map ( clock => clock, resetn => resetn, load => load2, seed => seed2, parallel_out => parallel_out2, serial_out => serial_out2 );end test;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -