⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 rom.vhd

📁 用EDA技术来实现LCD文字的显示功能!...
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ROM is port   
(CR,A7,A6,A5,A4,A3,A2,A1,A0:  in std_logic;   
 L15,L14,L13,L12,L11,L10,L9,L8,L7,L6,L5,L4,L3,L2,L1,L0: out std_logic);
end;
architecture arc_ROM of ROM is
signal din:  std_logic_vector(7 downto 0);
signal dout:  std_logic_vector(15 downto 0);
begin
   din<=A7&A6&A5&A4&A3&A2&A1&A0;
process(din)     
begin          
if(CR='1')then 
case din is
 when"00001111"=>dout<="0100001000000000"; --1    
 when"00001110"=>dout<="0010001000111100"; --2    
 when"00001101"=>dout<="0010001000100100"; --3    
 when"00001100"=>dout<="0000001000100100"; --4    
 when"00001011"=>dout<="1000111110111100"; --5    
 when"00001010"=>dout<="0101001000100100"; --6    
 when"00001001"=>dout<="0001001000100100"; --7    
 when"00001000"=>dout<="0010111110111100"; --8    湖
 when"00000111"=>dout<="0010100010100100"; --9    
 when"00000110"=>dout<="0010100010100100"; --10    
 when"00000101"=>dout<="1100100010100100"; --11    
 when"00000100"=>dout<="0100100010100100"; --12    
 when"00000011"=>dout<="0100111111000100"; --13   
 when"00000010"=>dout<="0100000001000100"; --14    
 when"00000001"=>dout<="0100010010010100"; --15    
 when"00000000"=>dout<="0100000100001000"; --16

 when"00011111"=>dout<="0000000000000000"; --1    
 when"00011110"=>dout<="0010000000000100"; --2    
 when"00011101"=>dout<="0001000000000100"; --3    
 when"00011100"=>dout<="0001100111100100"; --4    
 when"00011011"=>dout<="0000110100100100"; --5    
 when"00011010"=>dout<="0100000100100100"; --6    
 when"00011001"=>dout<="1000000100100101"; --7    
 when"00011000"=>dout<="0111111100100110"; --8    北
 when"00010111"=>dout<="0000000100100100"; --9    
 when"00010110"=>dout<="0000000100100100"; --10 
 when"00010101"=>dout<="0000010100100100"; --11    
 when"00010100"=>dout<="0000110111100100"; --12    
 when"00010011"=>dout<="0011100000000110"; --13   
 when"00010010"=>dout<="0001000000000100"; --14    
 when"00010001"=>dout<="0000000000000000"; --15    
 when"00010000"=>dout<="0000000000000000"; --16

 when"00101111"=>dout<="0000100000100100"; --1    
 when"00101110"=>dout<="0000011100111000"; --2   
 when"00101101"=>dout<="0000000011100000"; --3    
 when"00101100"=>dout<="1111111111111111"; --4    
 when"00101011"=>dout<="0000000010110000"; --5    
 when"00101010"=>dout<="0000000100101100"; --6    
 when"00101001"=>dout<="0000001000000000"; --7    
 when"00101000"=>dout<="0000000000100010"; --8    轻
 when"00100111"=>dout<="1111111110101010"; --9    
 when"00100110"=>dout<="0000101010101010"; --10    
 when"00100101"=>dout<="0000101010111111"; --11    
 when"00100100"=>dout<="0100101010101010"; --12    
 when"00100011"=>dout<="1000101010101010"; --13   
 when"00100010"=>dout<="0111111110101010"; --14    
 when"00100001"=>dout<="0000000000100010"; --15   
 when"00100000"=>dout<="0000000000000000"; --16

 when"00111111"=>dout<="0000000001000000"; --1    
 when"00111110"=>dout<="0000000000100000"; --2    
 when"00111101"=>dout<="1111111111110000"; --3    
 when"00111100"=>dout<="0000000000001100"; --4    
 when"00111011"=>dout<="0100000000000010"; --5    
 when"00111010"=>dout<="0100000000000000"; --6    
 when"00111001"=>dout<="0010000000111000"; --7    
 when"00111000"=>dout<="0001000011000000"; --8    工
 when"00110111"=>dout<="0000101100000000"; --9    
 when"00110110"=>dout<="0000010000001110"; --10    
 when"00110101"=>dout<="0000101100000100"; --11    
 when"00110100"=>dout<="0001000011100000"; --12    
 when"00110011"=>dout<="0010000000011100"; --13   
 when"00110010"=>dout<="0110000000000000"; --14    
 when"00110001"=>dout<="0010000000000000"; --15    
 when"00110000"=>dout<="0000000000000000"; --16
 
 when"01001111"=>dout<="0100000010000000"; --1    
 when"01001110"=>dout<="0010000010000010"; --2    
 when"01001101"=>dout<="0001111110000100"; --3    
 when"01001100"=>dout<="0010000000001100"; --4    
 when"01001011"=>dout<="0101000000010000"; --5    
 when"01001010"=>dout<="0100100000010000"; --6    
 when"01001001"=>dout<="0100010000010000"; --7    
 when"01001000"=>dout<="0100001100010000"; --8    职
 when"01000111"=>dout<="0100000011111110"; --9    
 when"01000110"=>dout<="0100000100010000"; --10    
 when"01000101"=>dout<="0100001000010000"; --11    
 when"01000100"=>dout<="0100010000010000"; --12    
 when"01000011"=>dout<="0101110000010000"; --13   
 when"01000010"=>dout<="0100100000010000"; --14    
 when"01000001"=>dout<="0010000000000000"; --15    
 when"01000000"=>dout<="0000000000000000"; --16

 when"01011111"=>dout<="0100010000000000"; --1    
 when"01011110"=>dout<="0100001100000000"; --2    
 when"01011101"=>dout<="0100000011111100"; --3    
 when"01011100"=>dout<="0111110000100100"; --4    
 when"01011011"=>dout<="0100010100100100"; --5    
 when"01011010"=>dout<="0100011000100100"; --6    
 when"01011001"=>dout<="0111110111100100"; --7    
 when"01011000"=>dout<="0100011000000100"; --8    业
 when"01010111"=>dout<="0100010100011111"; --9    
 when"01010110"=>dout<="0111110101100100"; --10    
 when"01010101"=>dout<="0100010010000101"; --11    
 when"01010100"=>dout<="0100010101000110"; --12    
 when"01010011"=>dout<="0111111000100100"; --13   
 when"01010010"=>dout<="0100001000000100"; --14    
 when"01010001"=>dout<="0100001110000100"; --15    
 when"01010000"=>dout<="0000000000000000"; --16

 when"01101111"=>dout<="0000010000010000"; --1    
 when"01101110"=>dout<="0000001000010010"; --2    
 when"01101101"=>dout<="0000000110010010"; --3    
 when"01101100"=>dout<="0000000001110010"; --4    
 when"01101011"=>dout<="1111111111111110"; --5   
 when"01101010"=>dout<="0000000001010001"; --6  
 when"01101001"=>dout<="0000010010010001"; --7    
 when"01101000"=>dout<="0000010000000000"; --8    
 when"01100111"=>dout<="0000010000100010"; --9    技
 when"01100110"=>dout<="0000001011001100"; --10    
 when"01100101"=>dout<="0000001000000000"; --11   
 when"01100100"=>dout<="0000001000000000"; --12    
 when"01100011"=>dout<="1111111111111111"; --13   
 when"01100010"=>dout<="0000000100000000"; --14    
 when"01100001"=>dout<="0000000100000000"; --15    
 when"01100000"=>dout<="0000000000000000"; --16


 when"01111111"=>dout<="0000000100001000"; --1    
 when"01111110"=>dout<="0100000100001000"; --2    
 when"01111101"=>dout<="1000000010001000"; --3    
 when"01111100"=>dout<="0111111111111111"; --4    
 when"01111011"=>dout<="0000000001001000"; --5   
 when"01111010"=>dout<="0100000001001000"; --6  
 when"01111001"=>dout<="0100000000000000"; --7    
 when"01111000"=>dout<="0010000011001000"; --8    
 when"01110111"=>dout<="0001001101001000"; --9    技
 when"01110110"=>dout<="0000110001001000"; --10    
 when"01110101"=>dout<="0000110001111111"; --11   
 when"01110100"=>dout<="0001001001001000"; --12    
 when"01110011"=>dout<="0010000111001000"; --13   
 when"01110010"=>dout<="0110000001001000"; --14     
 when"01110001"=>dout<="0010000000001000"; --15    
 when"01110000"=>dout<="0000000000000000"; --16

 when"10001111"=>dout<="0000000100001000"; --1    
 when"10001110"=>dout<="0100000100001000"; --2    
 when"10001101"=>dout<="1000000010001000"; --3    
 when"10001100"=>dout<="0111111111111111"; --4    
 when"10001011"=>dout<="0000000001001000"; --5   
 when"10001010"=>dout<="0100000001001000"; --6  
 when"10001001"=>dout<="0100000000000000"; --7    
 when"10001000"=>dout<="0010000011001000"; --8    
 when"10000111"=>dout<="0001001101001000"; --9    术
 when"10000110"=>dout<="0000110001001000"; --10    
 when"10000101"=>dout<="0000110001111111"; --11   
 when"10000100"=>dout<="0001001001001000"; --12    
 when"10000011"=>dout<="0010000111001000"; --13   
 when"10000010"=>dout<="0110000001001000"; --14     
 when"10000001"=>dout<="0010000000001000"; --15    
 when"10000000"=>dout<="0000000000000000"; --16

 when"10011111"=>dout<="0000000100001000"; --1    
 when"10011110"=>dout<="0100000100001000"; --2    
 when"10011101"=>dout<="1000000010001000"; --3    
 when"10011100"=>dout<="0111111111111111"; --4    
 when"10011011"=>dout<="0000000001001000"; --5   
 when"10011010"=>dout<="0100000001001000"; --6  
 when"10011001"=>dout<="0100000000000000"; --7    
 when"10011000"=>dout<="0010000011001000"; --8    
 when"10010111"=>dout<="0001001101001000"; --9    

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -