⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 modulation.vhd

📁 VHDL语言编写的调制过程
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity modulation is
  port(clk,start,x:in std_logic;
          a:out std_logic;   
         y:out std_logic);
end modulation;
architecture beha of modulation is
  signal f:std_logic;
  signal count:std_logic_vector(1 downto 0);
   begin
  s0: process(clk)
     begin
      if clk'event and clk='1' then
        if start='0'then 
          count<="00";
        else  
          count<=count+'1';
       end if;
     end if;
 if count="10" then
        f<='1';
       elsif  count="11" then
        f<='1';
       else  f<='0';
      end if;

    end process s0;
   
     a<=f;
   y<=x and f;
   end beha; 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -