⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 symulacja.vhd

📁 symulation LCD screen
💻 VHD
字号:

--------------------------------------------------------------------------------
-- Company: 
-- Engineer:
--
-- Create Date:   15:00:52 01/26/2009
-- Design Name:   led
-- Module Name:   C:/Documents and Settings/Administrator/Moje dokumenty/ledMK/symulacja.vhd
-- Project Name:  led
-- Target Device:  
-- Tool versions:  
-- Description:   
-- 
-- VHDL Test Bench Created by ISE for module: led
--
-- Dependencies:
-- 
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes: 
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends 
-- that these types always be used for the top-level I/O of a design in order 
-- to guarantee that the testbench will bind correctly to the post-implementation 
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;

ENTITY symulacja_vhd IS
END symulacja_vhd;

ARCHITECTURE behavior OF symulacja_vhd IS 

	-- Component Declaration for the Unit Under Test (UUT)
	COMPONENT led
	PORT(
		clk : IN std_logic;          
		anody : OUT std_logic_vector(3 downto 0);
		ledy : OUT std_logic_vector(6 downto 0)
		);
	END COMPONENT;

	--Inputs
	SIGNAL clk :  std_logic := '0';

	--Outputs
	SIGNAL anody :  std_logic_vector(3 downto 0);
	SIGNAL ledy :  std_logic_vector(6 downto 0);

BEGIN

	-- Instantiate the Unit Under Test (UUT)
	uut: led PORT MAP(
		clk => clk,
		anody => anody,
		ledy => ledy
	);

	tb : PROCESS
	BEGIN

		-- Wait 100 ns for global reset to finish
		wait for 100 ns;

		-- Place stimulus here

		wait; -- will wait forever
	END PROCESS;

END;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -