📄 tag_mem0.vhd
字号:
-- VHDL netlist generated by SCUBA ispLever_v72_PROD_Build (44)-- Module Version: 2.0--d:\ispTOOLS7_2\ispfpga\bin\nt\scuba.exe -w -n tag_mem0 -lang vhdl -synth synplify -bus_exp 7 -bb -arch mg5a00 -type tag -depth 273 -e -- Fri Dec 19 10:23:53 2008library IEEE;use IEEE.std_logic_1164.all;-- synopsys translate_offlibrary xp2;use xp2.components.all;-- synopsys translate_onentity tag_mem0 is port ( CLK: in std_logic; SI: in std_logic; CS: in std_logic; SO: out std_logic);end tag_mem0;architecture Structure of tag_mem0 is -- local component declarations component SSPIA -- synopsys translate_off generic (TAG_INITSIZE : in Integer; TAG_INITVAL_0C : in String; TAG_INITVAL_0B : in String; TAG_INITVAL_0A : in String; TAG_INITVAL_09 : in String; TAG_INITVAL_08 : in String; TAG_INITVAL_07 : in String; TAG_INITVAL_06 : in String; TAG_INITVAL_05 : in String; TAG_INITVAL_04 : in String; TAG_INITVAL_03 : in String; TAG_INITVAL_02 : in String; TAG_INITVAL_01 : in String; TAG_INITVAL_00 : in String); -- synopsys translate_on port (SI: in std_logic; CLK: in std_logic; CS: in std_logic; SO: out std_logic); end component; attribute TAG_INITSIZE : string; attribute TAG_INITIALIZATION : string; attribute TAG_INITVAL_0C : string; attribute TAG_INITVAL_0B : string; attribute TAG_INITVAL_0A : string; attribute TAG_INITVAL_09 : string; attribute TAG_INITVAL_08 : string; attribute TAG_INITVAL_07 : string; attribute TAG_INITVAL_06 : string; attribute TAG_INITVAL_05 : string; attribute TAG_INITVAL_04 : string; attribute TAG_INITVAL_03 : string; attribute TAG_INITVAL_02 : string; attribute TAG_INITVAL_01 : string; attribute TAG_INITVAL_00 : string; attribute TAG_INITSIZE of TAGInst_0 : label is "2184"; attribute TAG_INITIALIZATION of TAGInst_0 : label is "DISABLED"; attribute TAG_INITVAL_0C of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_0B of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_0A of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_09 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_08 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_07 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_06 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_05 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_04 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_03 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_02 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_01 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"; attribute TAG_INITVAL_00 of TAGInst_0 : label is "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";begin -- component instantiation statements TAGInst_0: SSPIA -- synopsys translate_off generic map (TAG_INITSIZE=> 2184, TAG_INITVAL_0C=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_0B=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_0A=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_09=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_08=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_07=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_06=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_05=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_04=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_03=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_02=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_01=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000", TAG_INITVAL_00=> "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000") -- synopsys translate_on port map (SI=>SI, CLK=>CLK, CS=>CS, SO=>SO);end Structure;-- synopsys translate_offlibrary xp2;configuration Structure_CON of tag_mem0 is for Structure for all:SSPIA use entity xp2.SSPIA(V); end for; end for;end Structure_CON;-- synopsys translate_on
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -