📄 add128.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity add128 is port(
ext_add : in std_logic_vector(7 downto 0);
sel : out std_logic_vector(127 downto 0));
end add128;
architecture beha of add128 is
begin
with ext_add select
sel<= "11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110" when "00000000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101" when "00000001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011" when "00000010",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111" when "00000011",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111" when "00000100",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111" when "00000101",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111" when "00000110",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111" when "00000111",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111" when "00001000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111" when "00001001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111" when "00001010",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111" when "00001011",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111" when "00001100",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111" when "00001101",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111" when "00001110",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111" when "00001111",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111" when "00010000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111" when "00010001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111" when "00010010",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111" when "00010011",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111" when "00010100",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111" when "00010101",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111" when "00010110",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111" when "00010111",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111" when "00011000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111" when "00011001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111" when "00011010",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111" when "00011011",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111" when "00011100",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111" when "00011101",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111" when "00011110",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111" when "00011111",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111" when "00100000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111" when "00100001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111" when "00100010",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111" when "00100011",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111" when "00100100",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111" when "00100101",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111" when "00100110",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111" when "00100111",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111" when "00101000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111" when "00101001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111" when "00101010",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111111" when "00101011",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111111" when "00101100",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111" when "00101101",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111111111" when "00101110",
"11111111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111111111" when "00101111",
"11111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111111" when "00110000",
"11111111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111111111111" when "00110001",
"11111111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111111111111" when "00110010",
"11111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111111111" when "00110011",
"11111111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111111111111111" when "00110100",
"11111111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111111111111111" when "00110101",
"11111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111111111111" when "00110110",
"11111111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111111111111111111" when "00110111",
"11111111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111111111111111111" when "00111000",
"11111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111111111111111" when "00111001",
"11111111111111111111111111111111111111111111111111111111111111111111101111111111111111111111111111111111111111111111111111111111" when "00111010",
"11111111111111111111111111111111111111111111111111111111111111111111011111111111111111111111111111111111111111111111111111111111" when "00111011",
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -