⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 led_scan8by8.h

📁 基于Systemc的8*8LED扫描电路课程设计的设计文件
💻 H
字号:
#include "systemc.h"
#include  "rom.h"
#include "count6.h"
#include "decoder3by8.h"



SC_MODULE(led_scan8by8)
{
sc_in<bool>clk,reset,en,rw;
sc_out<sc_uint<8> >scan_row,scan_column;
sc_out<sc_uint<6> >d6;

sc_signal<sc_uint<3> >d3;
sc_signal<sc_uint<4> >d4;
   

count6 *cou_ptr;
decoder3by8 *de3_ptr;
memory *de4_ptr;



SC_CTOR(led_scan8by8)
{

cou_ptr=new count6("cou");

cou_ptr->aclr(reset);
cou_ptr->clk(clk);
cou_ptr->c3(d3);
cou_ptr->c4(d4);
cou_ptr->c6(d6);


de3_ptr=new decoder3by8("de3");

de3_ptr->select3(d3);
de3_ptr->row8(scan_row);

de4_ptr=new memory("de4");

de4_ptr->select4(d4);
de4_ptr->column8(scan_column);
de4_ptr->en(en);
de4_ptr->rw(rw);
de4_ptr->clk(clk);




sensitive<<d3<<d4<<d6;
}
~led_scan8by8()
{
delete cou_ptr;
delete de3_ptr;
delete de4_ptr;
}
};

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -