⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 count6.h

📁 基于Systemc的8*8LED扫描电路课程设计的设计文件
💻 H
字号:
#include "systemc.h"

const int COUNT_SIZE=6;
SC_MODULE(count6)
{
sc_in<bool>aclr,clk;
sc_out<sc_uint<3> > c3;
sc_out<sc_uint<4> > c4;
sc_out<sc_uint<6> > c6;
sc_signal<sc_uint<COUNT_SIZE> >uint_data_counter;
sc_bv<COUNT_SIZE> data_counter;
sc_bv<3> var_c3;
sc_bv<4> var_c4;

void counter();

SC_CTOR(count6)
{
SC_METHOD(counter);
sensitive_pos<<aclr;
sensitive_pos<<clk;
}
};

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -