⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 cout8.tdf

📁 步进电机8细分CPLD相序及外部DA输出 实际细分数可达64细分 使用Atmel maxplus2 V10.1软件
💻 TDF
字号:
library IEEE;
use IEEE.std_logic_1164.all;

entity cout8 is
port (
		RUNDIR:  in STD_LOGIC_VECTOR (2 downto 0);
        OUTADD :  out STD_LOGIC_VECTOR (3 downto 0)
    );
end entity cout8;
architecture behave of cout8 is
begin
 P1:process(RUNDIR)
 begin
  		case RUNDIR is
  				when "000" => OUTADD<=2;
                when "001" => OUTADD<=6;  
				when "010" => OUTADD<=4; 
                when "011" => OUTADD<=5;  
                when "100" => OUTADD<=1; 
				when "101" => OUTADD<=9; 
                when "110" => OUTADD<=8;  
                when others =>OUTADD<=10;  
        	end case;
end process;
end behave;   
                    


⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -