📄 data_rom.vhd
字号:
-- VHDL netlist generated by SCUBA ispLever_v70_SP1_Build (25)-- Module Version: 4.1--E:\ispTOOLS7_0\ispfpga\bin\nt\scuba.exe -w -lang vhdl -synth synplify -bus_exp 7 -bb -arch mg5a00 -type bram -wp 00 -rp 1100 -addr_width 4 -data_width 16 -num_rows 16 -gsr DISABLED -resetmode SYNC -memfile c:/temp/multi/rom.mem -memformat hex -e -- Mon Nov 12 11:33:50 2007library IEEE;use IEEE.std_logic_1164.all;-- synopsys translate_offlibrary xp2;use xp2.components.all;-- synopsys translate_onentity Data_rom is port ( Address: in std_logic_vector(3 downto 0); OutClock: in std_logic; OutClockEn: in std_logic; Reset: in std_logic; Q: out std_logic_vector(15 downto 0));end Data_rom;architecture Structure of Data_rom is -- internal signal declarations signal scuba_vhi: std_logic; signal scuba_vlo: std_logic; -- local component declarations component VHI port (Z: out std_logic); end component; component VLO port (Z: out std_logic); end component; component DP16KB -- synopsys translate_off generic (INITVAL_3F : in String; INITVAL_3E : in String; INITVAL_3D : in String; INITVAL_3C : in String; INITVAL_3B : in String; INITVAL_3A : in String; INITVAL_39 : in String; INITVAL_38 : in String; INITVAL_37 : in String; INITVAL_36 : in String; INITVAL_35 : in String; INITVAL_34 : in String; INITVAL_33 : in String; INITVAL_32 : in String; INITVAL_31 : in String; INITVAL_30 : in String; INITVAL_2F : in String; INITVAL_2E : in String; INITVAL_2D : in String; INITVAL_2C : in String; INITVAL_2B : in String; INITVAL_2A : in String; INITVAL_29 : in String; INITVAL_28 : in String; INITVAL_27 : in String; INITVAL_26 : in String; INITVAL_25 : in String; INITVAL_24 : in String; INITVAL_23 : in String; INITVAL_22 : in String; INITVAL_21 : in String; INITVAL_20 : in String; INITVAL_1F : in String; INITVAL_1E : in String; INITVAL_1D : in String; INITVAL_1C : in String; INITVAL_1B : in String; INITVAL_1A : in String; INITVAL_19 : in String; INITVAL_18 : in String; INITVAL_17 : in String; INITVAL_16 : in String; INITVAL_15 : in String; INITVAL_14 : in String; INITVAL_13 : in String; INITVAL_12 : in String; INITVAL_11 : in String; INITVAL_10 : in String; INITVAL_0F : in String; INITVAL_0E : in String; INITVAL_0D : in String; INITVAL_0C : in String; INITVAL_0B : in String; INITVAL_0A : in String; INITVAL_09 : in String; INITVAL_08 : in String; INITVAL_07 : in String; INITVAL_06 : in String; INITVAL_05 : in String; INITVAL_04 : in String; INITVAL_03 : in String; INITVAL_02 : in String; INITVAL_01 : in String; INITVAL_00 : in String; GSR : in String; WRITEMODE_B : in String; CSDECODE_B : in std_logic_vector(2 downto 0); CSDECODE_A : in std_logic_vector(2 downto 0); WRITEMODE_A : in String; RESETMODE : in String; REGMODE_B : in String; REGMODE_A : in String; DATA_WIDTH_B : in Integer; DATA_WIDTH_A : in Integer); -- synopsys translate_on port (DIA0: in std_logic; DIA1: in std_logic; DIA2: in std_logic; DIA3: in std_logic; DIA4: in std_logic; DIA5: in std_logic; DIA6: in std_logic; DIA7: in std_logic; DIA8: in std_logic; DIA9: in std_logic; DIA10: in std_logic; DIA11: in std_logic; DIA12: in std_logic; DIA13: in std_logic; DIA14: in std_logic; DIA15: in std_logic; DIA16: in std_logic; DIA17: in std_logic; ADA0: in std_logic; ADA1: in std_logic; ADA2: in std_logic; ADA3: in std_logic; ADA4: in std_logic; ADA5: in std_logic; ADA6: in std_logic; ADA7: in std_logic; ADA8: in std_logic; ADA9: in std_logic; ADA10: in std_logic; ADA11: in std_logic; ADA12: in std_logic; ADA13: in std_logic; CEA: in std_logic; CLKA: in std_logic; WEA: in std_logic; CSA0: in std_logic; CSA1: in std_logic; CSA2: in std_logic; RSTA: in std_logic; DIB0: in std_logic; DIB1: in std_logic; DIB2: in std_logic; DIB3: in std_logic; DIB4: in std_logic; DIB5: in std_logic; DIB6: in std_logic; DIB7: in std_logic; DIB8: in std_logic; DIB9: in std_logic; DIB10: in std_logic; DIB11: in std_logic; DIB12: in std_logic; DIB13: in std_logic; DIB14: in std_logic; DIB15: in std_logic; DIB16: in std_logic; DIB17: in std_logic; ADB0: in std_logic; ADB1: in std_logic; ADB2: in std_logic; ADB3: in std_logic; ADB4: in std_logic; ADB5: in std_logic; ADB6: in std_logic; ADB7: in std_logic; ADB8: in std_logic; ADB9: in std_logic; ADB10: in std_logic; ADB11: in std_logic; ADB12: in std_logic; ADB13: in std_logic; CEB: in std_logic; CLKB: in std_logic; WEB: in std_logic; CSB0: in std_logic; CSB1: in std_logic; CSB2: in std_logic; RSTB: in std_logic; DOA0: out std_logic; DOA1: out std_logic; DOA2: out std_logic; DOA3: out std_logic; DOA4: out std_logic; DOA5: out std_logic; DOA6: out std_logic; DOA7: out std_logic; DOA8: out std_logic; DOA9: out std_logic; DOA10: out std_logic; DOA11: out std_logic; DOA12: out std_logic; DOA13: out std_logic; DOA14: out std_logic; DOA15: out std_logic; DOA16: out std_logic; DOA17: out std_logic; DOB0: out std_logic; DOB1: out std_logic; DOB2: out std_logic; DOB3: out std_logic; DOB4: out std_logic; DOB5: out std_logic; DOB6: out std_logic; DOB7: out std_logic; DOB8: out std_logic; DOB9: out std_logic; DOB10: out std_logic; DOB11: out std_logic; DOB12: out std_logic; DOB13: out std_logic; DOB14: out std_logic; DOB15: out std_logic; DOB16: out std_logic; DOB17: out std_logic); end component; attribute MEM_LPC_FILE : string; attribute MEM_INIT_FILE : string; attribute INITVAL_3F : string; attribute INITVAL_3E : string; attribute INITVAL_3D : string; attribute INITVAL_3C : string; attribute INITVAL_3B : string; attribute INITVAL_3A : string; attribute INITVAL_39 : string; attribute INITVAL_38 : string; attribute INITVAL_37 : string; attribute INITVAL_36 : string; attribute INITVAL_35 : string; attribute INITVAL_34 : string; attribute INITVAL_33 : string; attribute INITVAL_32 : string; attribute INITVAL_31 : string; attribute INITVAL_30 : string; attribute INITVAL_2F : string; attribute INITVAL_2E : string; attribute INITVAL_2D : string; attribute INITVAL_2C : string; attribute INITVAL_2B : string; attribute INITVAL_2A : string; attribute INITVAL_29 : string; attribute INITVAL_28 : string; attribute INITVAL_27 : string; attribute INITVAL_26 : string; attribute INITVAL_25 : string; attribute INITVAL_24 : string; attribute INITVAL_23 : string; attribute INITVAL_22 : string; attribute INITVAL_21 : string; attribute INITVAL_20 : string; attribute INITVAL_1F : string; attribute INITVAL_1E : string; attribute INITVAL_1D : string; attribute INITVAL_1C : string; attribute INITVAL_1B : string; attribute INITVAL_1A : string; attribute INITVAL_19 : string; attribute INITVAL_18 : string; attribute INITVAL_17 : string; attribute INITVAL_16 : string; attribute INITVAL_15 : string; attribute INITVAL_14 : string; attribute INITVAL_13 : string; attribute INITVAL_12 : string; attribute INITVAL_11 : string; attribute INITVAL_10 : string; attribute INITVAL_0F : string; attribute INITVAL_0E : string; attribute INITVAL_0D : string; attribute INITVAL_0C : string; attribute INITVAL_0B : string; attribute INITVAL_0A : string; attribute INITVAL_09 : string; attribute INITVAL_08 : string; attribute INITVAL_07 : string; attribute INITVAL_06 : string; attribute INITVAL_05 : string; attribute INITVAL_04 : string; attribute INITVAL_03 : string; attribute INITVAL_02 : string; attribute INITVAL_01 : string; attribute INITVAL_00 : string; attribute CSDECODE_B : string; attribute CSDECODE_A : string; attribute WRITEMODE_B : string; attribute WRITEMODE_A : string; attribute GSR : string; attribute RESETMODE : string; attribute REGMODE_B : string; attribute REGMODE_A : string;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -