⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 net_remote_mem.h

📁 改进的基于6个mips核的NOC网络
💻 H
字号:
#ifndef NET_REMOTE_MEM_H_DEFINED#define NET_REMOTE_MEM_H_DEFINED#include "remote_mem.h"#include "netif_b.h"SC_MODULE(NET_REMOTE_MEM){  sc_in<bool> clock;  //sc_in<bool> enable;  sc_in<bool> reset;  //sc_signal<bool> enable;  /*   *	MODULES   */  NETWORK_INTERFACE *netif;  // network interface  sc_in< sc_bv<FLIT_LEN> > data_in;  sc_in< bool > req_in, ack_in;  sc_out< sc_bv<FLIT_LEN> > data_out;  sc_out< bool > req_out, ack_out;    REMOTE_MEM *remote_memory;  // remote memory interface  sc_out<sc_int<32> > ramDO;  sc_in<sc_uint<32> > ramADDR;  sc_in<sc_int<32> > ramDI;  sc_in<bool> ramEN;  sc_in<bool> ramCLK;  sc_in<bool> ramWE;  sc_in<bool> ramRST;    sc_in < sc_int<8> > xdimension;  sc_in < sc_int<8> > ydimension;  sc_signal< sc_bv<DWORD> > dev_din;  sc_signal< bool > dev_rdyr;  sc_signal< bool > dev_rcv_eop;  sc_signal< bool > dev_rdyw;  sc_signal< bool > rd_wr;  sc_signal< bool > dev_w;  sc_signal< bool > dev_r;  sc_signal< sc_bv<DWORD> > dev_dout;  sc_signal< bool > dev_wdata;  sc_signal< bool > dev_waddr;  sc_signal< bool > dev_send_eop;      SC_CTOR(NET_REMOTE_MEM)	{	  //enable.write(1);		  remote_memory = new REMOTE_MEM("remote_memory");          remote_memory->clock(clock);          remote_memory->reset(reset);          //remote_memory->enable(enable);	  	  remote_memory->ramDO(ramDO);	  remote_memory->ramADDR(ramADDR);	  remote_memory->ramDI(ramDI);	  remote_memory->ramEN(ramEN);	  remote_memory->ramCLK(ramCLK);	  remote_memory->ramWE(ramWE);	  remote_memory->ramRST(ramRST);          remote_memory->xdimension(xdimension);          remote_memory->ydimension(ydimension);          remote_memory->dev_din(dev_din);          remote_memory->dev_rdyr(dev_rdyr);          remote_memory->dev_rcv_eop(dev_rcv_eop);          remote_memory->dev_rdyw(dev_rdyw);          remote_memory->rd_wr(rd_wr);          remote_memory->dev_w(dev_w);          remote_memory->dev_r(dev_r);          remote_memory->dev_dout(dev_dout);          remote_memory->dev_wdata(dev_wdata);          remote_memory->dev_waddr(dev_waddr);          remote_memory->dev_send_eop(dev_send_eop);          	  netif = new NETWORK_INTERFACE("netif");	  	  	  netif->clk(clock); netif->rst(reset);	  netif->data_in(data_in);	  netif->data_out(data_out);	  netif->req_in(req_in);	  netif->ack_in(ack_in);	  netif->req_out(req_out);	  netif->ack_out(ack_out);	  netif->reg_data_in(dev_dout);	  netif->write_data(dev_wdata);	  netif->write_addr(dev_waddr);	  netif->send(dev_w);          netif->packet_end(dev_send_eop);	  netif->read(dev_r);	  netif->reg_data_out(dev_din);	  netif->data_rdy(dev_rdyr);          netif->rcv_packet_end(dev_rcv_eop);	  netif->send_rdy(dev_rdyw);          netif->rd_wr(rd_wr);	}};#endif

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -