📄 rijndael_de_top.vhd
字号:
--
-- Library Name : rijndael_min_new
-- Unit Name : SBOX8_8L_rijndael_5
-- Unit Type : Text Unit
--
------------------------------------------------------
------------------------------------------
------------------------------------------
-- Date : Fri Jun 01 14:44:22 2001
--
-- Author : wangk
--
-- Company : wisdom
--
-- Description : 实现8路输入8路输出的函数关系的S盒(S逆盒)
--
------------------------------------------
------------------------------------------
library ieee; use ieee.STD_LOGIC_1164.all;
entity SBOX8_8L_rijndael_5 is
port (Q : out std_logic_vector(7 downto 0);
D : in std_logic_vector(7 downto 0)
);
end;
------------------------------------------
------------------------------------------
-- Date : Fri Jun 01 14:45:40 2001
--
-- Author : wangk
--
-- Company : wisdom
--
-- Description : 实现8路输入8路输出的函数关系的S盒(S逆盒)
--
------------------------------------------
------------------------------------------
architecture Behavior of SBOX8_8L_rijndael_5 is
begin
process(D)
begin
case D is
when "00000000" =>
Q <= "01010010";
when "00000001" =>
Q <= "00001001";
when "00000010" =>
Q <= "01101010";
when "00000011" =>
Q <= "11010101";
when "00000100" =>
Q <= "00110000";
when "00000101" =>
Q <= "00110110";
when "00000110" =>
Q <= "10100101";
when "00000111" =>
Q <= "00111000";
when "00001000" =>
Q <= "10111111";
when "00001001" =>
Q <= "01000000";
when "00001010" =>
Q <= "10100011";
when "00001011" =>
Q <= "10011110";
when "00001100" =>
Q <= "10000001";
when "00001101" =>
Q <= "11110011";
when "00001110" =>
Q <= "11010111";
when "00001111" =>
Q <= "11111011";
when "00010000" =>
Q <= "01111100";
when "00010001" =>
Q <= "11100011";
when "00010010" =>
Q <= "00111001";
when "00010011" =>
Q <= "10000010";
when "00010100" =>
Q <= "10011011";
when "00010101" =>
Q <= "00101111";
when "00010110" =>
Q <= "11111111";
when "00010111" =>
Q <= "10000111";
when "00011000" =>
Q <= "00110100";
when "00011001" =>
Q <= "10001110";
when "00011010" =>
Q <= "01000011";
when "00011011" =>
Q <= "01000100";
when "00011100" =>
Q <= "11000100";
when "00011101" =>
Q <= "11011110";
when "00011110" =>
Q <= "11101001";
when "00011111" =>
Q <= "11001011";
when "00100000" =>
Q <= "01010100";
when "00100001" =>
Q <= "01111011";
when "00100010" =>
Q <= "10010100";
when "00100011" =>
Q <= "00110010";
when "00100100" =>
Q <= "10100110";
when "00100101" =>
Q <= "11000010";
when "00100110" =>
Q <= "00100011";
when "00100111" =>
Q <= "00111101";
when "00101000" =>
Q <= "11101110";
when "00101001" =>
Q <= "01001100";
when "00101010" =>
Q <= "10010101";
when "00101011" =>
Q <= "00001011";
when "00101100" =>
Q <= "01000010";
when "00101101" =>
Q <= "11111010";
when "00101110" =>
Q <= "11000011";
when "00101111" =>
Q <= "01001110";
when "00110000" =>
Q <= "00001000";
when "00110001" =>
Q <= "00101110";
when "00110010" =>
Q <= "10100001";
when "00110011" =>
Q <= "01100110";
when "00110100" =>
Q <= "00101000";
when "00110101" =>
Q <= "11011001";
when "00110110" =>
Q <= "00100100";
when "00110111" =>
Q <= "10110010";
when "00111000" =>
Q <= "01110110";
when "00111001" =>
Q <= "01011011";
when "00111010" =>
Q <= "10100010";
when "00111011" =>
Q <= "01001001";
when "00111100" =>
Q <= "01101101";
when "00111101" =>
Q <= "10001011";
when "00111110" =>
Q <= "11010001";
when "00111111" =>
Q <= "00100101";
when "01000000" =>
Q <= "01110010";
when "01000001" =>
Q <= "11111000";
when "01000010" =>
Q <= "11110110";
when "01000011" =>
Q <= "01100100";
when "01000100" =>
Q <= "10000110";
when "01000101" =>
Q <= "01101000";
when "01000110" =>
Q <= "10011000";
when "01000111" =>
Q <= "00010110";
when "01001000" =>
Q <= "11010100";
when "01001001" =>
Q <= "10100100";
when "01001010" =>
Q <= "01011100";
when "01001011" =>
Q <= "11001100";
when "01001100" =>
Q <= "01011101";
when "01001101" =>
Q <= "01100101";
when "01001110" =>
Q <= "10110110";
when "01001111" =>
Q <= "10010010";
when "01010000" =>
Q <= "01101100";
when "01010001" =>
Q <= "01110000";
when "01010010" =>
Q <= "01001000";
when "01010011" =>
Q <= "01010000";
when "01010100" =>
Q <= "11111101";
when "01010101" =>
Q <= "11101101";
when "01010110" =>
Q <= "10111001";
when "01010111" =>
Q <= "11011010";
when "01011000" =>
Q <= "01011110";
when "01011001" =>
Q <= "00010101";
when "01011010" =>
Q <= "01000110";
when "01011011" =>
Q <= "01010111";
when "01011100" =>
Q <= "10100111";
when "01011101" =>
Q <= "10001101";
when "01011110" =>
Q <= "10011101";
when "01011111" =>
Q <= "10000100";
when "01100000" =>
Q <= "10010000";
when "01100001" =>
Q <= "11011000";
when "01100010" =>
Q <= "10101011";
when "01100011" =>
Q <= "00000000";
when "01100100" =>
Q <= "10001100";
when "01100101" =>
Q <= "10111100";
when "01100110" =>
Q <= "11010011";
when "01100111" =>
Q <= "00001010";
when "01101000" =>
Q <= "11110111";
when "01101001" =>
Q <= "11100100";
when "01101010" =>
Q <= "01011000";
when "01101011" =>
Q <= "00000101";
when "01101100" =>
Q <= "10111000";
when "01101101" =>
Q <= "10110011";
when "01101110" =>
Q <= "01000101";
when "01101111" =>
Q <= "00000110";
when "01110000" =>
Q <= "11010000";
when "01110001" =>
Q <= "00101100";
when "01110010" =>
Q <= "00011110";
when "01110011" =>
Q <= "10001111";
when "01110100" =>
Q <= "11001010";
when "01110101" =>
Q <= "00111111";
when "01110110" =>
Q <= "00001111";
when "01110111" =>
Q <= "00000010";
when "01111000" =>
Q <= "11000001";
when "01111001" =>
Q <= "10101111";
when "01111010" =>
Q <= "10111101";
when "01111011" =>
Q <= "00000011";
when "01111100" =>
Q <= "00000001";
when "01111101" =>
Q <= "00010011";
when "01111110" =>
Q <= "10001010";
when "01111111" =>
Q <= "01101011";
when "10000000" =>
Q <= "00111010";
when "10000001" =>
Q <= "10010001";
when "10000010" =>
Q <= "00010001";
when "10000011" =>
Q <= "01000001";
when "10000100" =>
Q <= "01001111";
when "10000101" =>
Q <= "01100111";
when "10000110" =>
Q <= "11011100";
when "10000111" =>
Q <= "11101010";
when "10001000" =>
Q <= "10010111";
when "10001001" =>
Q <= "11110010";
when "10001010" =>
Q <= "11001111";
when "10001011" =>
Q <= "11001110";
when "10001100" =>
Q <= "11110000";
when "10001101" =>
Q <= "10110100";
when "10001110" =>
Q <= "11100110";
when "10001111" =>
Q <= "01110011";
when "10010000" =>
Q <= "10010110";
when "10010001" =>
Q <= "10101100";
when "10010010" =>
Q <= "01110100";
when "10010011" =>
Q <= "00100010";
when "10010100" =>
Q <= "11100111";
when "10010101" =>
Q <= "10101101";
when "10010110" =>
Q <= "00110101";
when "10010111" =>
Q <= "10000101";
when "10011000" =>
Q <= "11100010";
when "10011001" =>
Q <= "11111001";
when "10011010" =>
Q <= "00110111";
when "10011011" =>
Q <= "11101000";
when "10011100" =>
Q <= "00011100";
when "10011101" =>
Q <= "01110101";
when "10011110" =>
Q <= "11011111";
when "10011111" =>
Q <= "01101110";
when "10100000" =>
Q <= "01000111";
when "10100001" =>
Q <= "11110001";
when "10100010" =>
Q <= "00011010";
when "10100011" =>
Q <= "01110001";
when "10100100" =>
Q <= "00011101";
when "10100101" =>
Q <= "00101001";
when "10100110" =>
Q <= "11000101";
when "10100111" =>
Q <= "10001001";
when "10101000" =>
Q <= "01101111";
when "10101001" =>
Q <= "10110111";
when "10101010" =>
Q <= "01100010";
when "10101011" =>
Q <= "00001110";
when "10101100" =>
Q <= "10101010";
when "10101101" =>
Q <= "00011000";
when "10101110" =>
Q <= "10111110";
when "10101111" =>
Q <= "00011011";
when "10110000" =>
Q <= "11111100";
when "10110001" =>
Q <= "01010110";
when "10110010" =>
Q <= "00111110";
when "10110011" =>
Q <= "01001011";
when "10110100" =>
Q <= "11000110";
when "10110101" =>
Q <= "11010010";
when "10110110" =>
Q <= "01111001";
when "10110111" =>
Q <= "00100000";
when "10111000" =>
Q <= "10011010";
when "10111001" =>
Q <= "11011011";
when "10111010" =>
Q <= "11000000";
when "10111011" =>
Q <= "11111110";
when "10111100" =>
Q <= "01111000";
when "10111101" =>
Q <= "11001101";
when "10111110" =>
Q <= "01011010";
when "10111111" =>
Q <= "11110100";
when "11000000" =>
Q <= "00011111";
when "11000001" =>
Q <= "11011101";
when "11000010" =>
Q <= "10101000";
when "11000011" =>
Q <= "00110011";
when "11000100" =>
Q <= "10001000";
when "11000101" =>
Q <= "00000111";
when "11000110" =>
Q <= "11000111";
when "11000111" =>
Q <= "00110001";
when "11001000" =>
Q <= "10110001";
when "11001001" =>
Q <= "00010010";
when "11001010" =>
Q <= "00010000";
when "11001011" =>
Q <= "01011001";
when "11001100" =>
Q <= "00100111";
when "11001101" =>
Q <= "10000000";
when "11001110" =>
Q <= "11101100";
when "11001111" =>
Q <= "01011111";
when "11010000" =>
Q <= "01100000";
when "11010001" =>
Q <= "01010001";
when "11010010" =>
Q <= "01111111";
when "11010011" =>
Q <= "10101001";
when "11010100" =>
Q <= "00011001";
when "11010101" =>
Q <= "10110101";
when "11010110" =>
Q <= "01001010";
when "11010111" =>
Q <= "00001101";
when "11011000" =>
Q <= "00101101";
when "11011001" =>
Q <= "11100101";
when "11011010" =>
Q <= "01111010";
when "11011011" =>
Q <= "10011111";
when "11011100" =>
Q <= "10010011";
when "11011101" =>
Q <= "11001001";
when "11011110" =>
Q <= "10011100";
when "11011111" =>
Q <= "11101111";
when "11100000" =>
Q <= "10100000";
when "11100001" =>
Q <= "11100000";
when "11100010" =>
Q <= "00111011";
when "11100011" =>
Q <= "01001101";
when "11100100" =>
Q <= "10101110";
when "11100101" =>
Q <= "00101010";
when "11100110" =>
Q <= "11110101";
when "11100111" =>
Q <= "10110000";
when "11101000" =>
Q <= "11001000";
when "11101001" =>
Q <= "11101011";
when "11101010" =>
Q <= "10111011";
when "11101011" =>
Q <= "00111100";
when "11101100" =>
Q <= "10000011";
when "11101101" =>
Q <= "01010011";
when "11101110" =>
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -