⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 vhdl1.vhd

📁 中频验波是对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。现代雷达普遍采用相参信号处理,而如
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity xor_add is
port(clk:in std_logic;
	 din:in std_logic_vector(11 downto 0);
	 dout:out std_logic_vector(11 downto 0));
end xor_add;

architecture one of xor_add is
signal temp:std_logic_vector(11 downto 0);
begin
	process(clk)
	begin
	temp(0)<=clk xor din(0);
	temp(1)<=clk xor din(1);
	temp(2)<=clk xor din(2);
	temp(3)<=clk xor din(3);
	temp(4)<=clk xor din(4);
	temp(5)<=clk xor din(5);
	temp(6)<=clk xor din(6);
	temp(7)<=clk xor din(7);
	temp(8)<=clk xor din(8);
	temp(9)<=clk xor din(9);
	temp(10)<=clk xor din(10);
	temp(11)<=clk xor din(11);
	dout<=temp+clk;
	end process;
end one;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -