📄 umc18_neg.v
字号:
(A *> Y) = (tplh$A$Y, tphl$A$Y); if ((A == 1'b1) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b1) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0 && B == 1'b0) || (A == 1'b1 && B == 1'b1)) (C *> Y) = (tplh$C$Y, tphl$C$Y); if ((A == 1'b0 && B == 1'b1) || (A == 1'b1 && B == 1'b0)) (C *> Y) = (tplh$C$Y, tphl$C$Y); endspecifyendmodule // XNOR3X2`endcelldefine//$Id: xor.genpp,v 1.1.1.1 2002/12/05 17:56:01 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule XNOR3X4 (Y, A, B, C);output Y;input A, B, C; xnor I0(Y, A, B, C); specify // delay parameters specparam tplh$A$Y = 1.0, tphl$A$Y = 1.0, tplh$B$Y = 1.0, tphl$B$Y = 1.0, tplh$C$Y = 1.0, tphl$C$Y = 1.0; // path delays if ((B == 1'b1) && (C == 1'b1)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b1) && (C == 1'b0)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b0) && (C == 1'b1)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b0) && (C == 1'b0)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((A == 1'b1) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b1) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0 && B == 1'b0) || (A == 1'b1 && B == 1'b1)) (C *> Y) = (tplh$C$Y, tphl$C$Y); if ((A == 1'b0 && B == 1'b1) || (A == 1'b1 && B == 1'b0)) (C *> Y) = (tplh$C$Y, tphl$C$Y); endspecifyendmodule // XNOR3X4`endcelldefine//$Id: xor.genpp,v 1.1.1.1 2002/12/05 17:56:01 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule XOR3X2 (Y, A, B, C);output Y;input A, B, C; xor I0(Y, A, B, C); specify // delay parameters specparam tplh$A$Y = 1.0, tphl$A$Y = 1.0, tplh$B$Y = 1.0, tphl$B$Y = 1.0, tplh$C$Y = 1.0, tphl$C$Y = 1.0; // path delays if ((B == 1'b1) && (C == 1'b1)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b1) && (C == 1'b0)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b0) && (C == 1'b1)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b0) && (C == 1'b0)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((A == 1'b1) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b1) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0 && B == 1'b0) || (A == 1'b1 && B == 1'b1)) (C *> Y) = (tplh$C$Y, tphl$C$Y); if ((A == 1'b0 && B == 1'b1) || (A == 1'b1 && B == 1'b0)) (C *> Y) = (tplh$C$Y, tphl$C$Y); endspecifyendmodule // XOR3X2`endcelldefine//$Id: xor.genpp,v 1.1.1.1 2002/12/05 17:56:01 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule XOR3X4 (Y, A, B, C);output Y;input A, B, C; xor I0(Y, A, B, C); specify // delay parameters specparam tplh$A$Y = 1.0, tphl$A$Y = 1.0, tplh$B$Y = 1.0, tphl$B$Y = 1.0, tplh$C$Y = 1.0, tphl$C$Y = 1.0; // path delays if ((B == 1'b1) && (C == 1'b1)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b1) && (C == 1'b0)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b0) && (C == 1'b1)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((B == 1'b0) && (C == 1'b0)) (A *> Y) = (tplh$A$Y, tphl$A$Y); if ((A == 1'b1) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b1) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b1)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0) && (C == 1'b0)) (B *> Y) = (tplh$B$Y, tphl$B$Y); if ((A == 1'b0 && B == 1'b0) || (A == 1'b1 && B == 1'b1)) (C *> Y) = (tplh$C$Y, tphl$C$Y); if ((A == 1'b0 && B == 1'b1) || (A == 1'b1 && B == 1'b0)) (C *> Y) = (tplh$C$Y, tphl$C$Y); endspecifyendmodule // XOR3X4`endcelldefine//$Id: rf.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule RFRDX1 (BRB, RB);output BRB;input RB;reg NOTIFIER; buf(weak0,weak1) I0(RB, io_wire); buf I1(io_wire, RB); not I2(BRB, RB); specify // delay parameters specparam tplh$RB$BRB = 1.0, tphl$RB$BRB = 1.0; // path delays (RB *> BRB) = (tplh$RB$BRB, tphl$RB$BRB); // timing checks endspecifyendmodule // RFRDX1`endcelldefine//$Id: rf.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule RFRDX2 (BRB, RB);output BRB;input RB;reg NOTIFIER; buf(weak0,weak1) I0(RB, io_wire); buf I1(io_wire, RB); not I2(BRB, RB); specify // delay parameters specparam tplh$RB$BRB = 1.0, tphl$RB$BRB = 1.0; // path delays (RB *> BRB) = (tplh$RB$BRB, tphl$RB$BRB); // timing checks endspecifyendmodule // RFRDX2`endcelldefine//$Id: rf.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule RFRDX4 (BRB, RB);output BRB;input RB;reg NOTIFIER; buf(weak0,weak1) I0(RB, io_wire); buf I1(io_wire, RB); not I2(BRB, RB); specify // delay parameters specparam tplh$RB$BRB = 1.0, tphl$RB$BRB = 1.0; // path delays (RB *> BRB) = (tplh$RB$BRB, tphl$RB$BRB); // timing checks endspecifyendmodule // RFRDX4`endcelldefine//$Id: rf.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule RF2R1WX2 (R1B, R2B, WB, WW, R1W, R2W);output R1B, R2B;input WB, WW, R1W, R2W;reg NOTIFIER; not I0 (WWN, WW); not I1 (R1WN, R1W); not I2 (R2WN, R2W); udp_tlatrf I3 (n0, WB, WW, WWN, NOTIFIER); notif1 I4 (R1B, n0, n2); notif1 I5 (R2B, n0, n3); udp_outrf I6 (n2, n0, R1WN, R1W); udp_outrf I7 (n3, n0, R2WN, R2W); specify // delay parameters specparam tplh$WB$R1B = 1.0, tphl$WB$R1B = 1.0, tplh$WW$R1B = 1.0, tphl$WW$R1B = 1.0, tplh$R1W$R1B = 1.0, tphl$R1W$R1B = 1.0, tplh$R2W$R1B = 1.0, tphl$R2W$R1B = 1.0, tplh$WB$R2B = 1.0, tphl$WB$R2B = 1.0, tplh$WW$R2B = 1.0, tphl$WW$R2B = 1.0, tplh$R1W$R2B = 1.0, tphl$R1W$R2B = 1.0, tplh$R2W$R2B = 1.0, tphl$R2W$R2B = 1.0, tminpwh$WW = 1.0, tsetup$WW$WB = 1.0, thold$WW$WB = 0.5; // path delays ( WW *> R1B) = (tplh$WW$R1B, tphl$WW$R1B); ( WB *> R1B ) = (tplh$WB$R1B, tphl$WB$R1B); ( WW *> R2B) = (tplh$WW$R2B, tphl$WW$R2B); ( WB *> R2B ) = (tplh$WB$R2B, tphl$WB$R2B); ( R1W *> R1B ) = (tplh$R1W$R1B, tphl$R1W$R1B); ( R2W *> R2B ) = (tplh$R2W$R2B, tphl$R2W$R2B); // timing checks $width(posedge WW, tminpwh$WW, 0, NOTIFIER); $setuphold(negedge WW, posedge WB, tsetup$WW$WB, thold$WW$WB, NOTIFIER); $setuphold(negedge WW, negedge WB, tsetup$WW$WB, thold$WW$WB, NOTIFIER); endspecifyendmodule // RF2R1WX2`endcelldefine//$Id: rf.genpp,v 1.2 2003/02/04 19:26:52 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/10ps`celldefinemodule RF1R1WX2 (RB, WB, WW, RW, RWN);output RB;input WB, WW, RW, RWN;reg NOTIFIER; not II (wwn,WW); udp_tlatrf I0 (n0, WB, WW, wwn, NOTIFIER); notif1 I1 (RB, n0, n2); udp_outrf I2 (n2, n0, RWN, RW); specify // delay parameters specparam tplh$WB$RB = 1.0, tphl$WB$RB = 1.0, tplh$WW$RB = 1.0, tphl$WW$RB = 1.0, tplh$RW$RB = 1.0, tphl$RW$RB = 1.0, tplh$RWN$RB = 1.0, tphl$RWN$RB = 1.0, tsetup$WW$WB = 1.0, thold$WW$WB = 0.5, tminpwh$WW = 1.0; // path delays ( WW *> RB) = (tplh$WW$RB, tphl$WW$RB); ( WB *> RB ) = (tplh$WB$RB, tphl$WB$RB); ( RW *> RB ) = (tplh$RW$RB, tphl$RW$RB); ( RWN *> RB ) = (tplh$RWN$RB, tphl$RWN$RB); // timing checks $width(posedge WW, tminpwh$WW, 0, NOTIFIER); $setuphold(negedge WW, posedge WB, tsetup$WW$WB, thold$WW$WB, NOTIFIER); $setuphold(negedge WW, negedge
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -