📄 umc18_neg.v
字号:
//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AFHCONX4 ( S, CON, A, B, CI);output S, CON;input A, B, CI; xor I0 (S, A, B, CI); and I1 (a_and_b, A, B); and I2 (a_and_ci, A, CI); and I3 (b_and_ci, B, CI); or I4 (cout, a_and_b, a_and_ci, b_and_ci); not I5 (CON, cout); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CON = 1.0, tphl$A$CON = 1.0, tplh$B$S = 1.0, tphl$B$S = 1.0, tplh$B$CON = 1.0, tphl$B$CON = 1.0, tplh$CI$S = 1.0, tphl$CI$S = 1.0, tplh$CI$CON = 1.0, tphl$CI$CON = 1.0; if ((A == 1'b0 && B == 1'b0) || (A == 1'b1 && B == 1'b1)) (CI *> S) = (tplh$CI$S, tphl$CI$S); if ((A == 1'b0 && B == 1'b1) || (A == 1'b1 && B == 1'b0)) (CI *> S) = (tplh$CI$S, tphl$CI$S); if (B == 1'b0 && CI == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b1 && CI == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b0 && CI == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (B == 1'b1 && CI == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b0 && CI == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b1 && CI == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b0 && CI == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (A == 1'b1 && CI == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); (CI *> CON) = (tplh$CI$CON, tphl$CI$CON); if (B == 1'b1) (A *> CON) = (tplh$A$CON, tphl$A$CON); if (B == 1'b0) (A *> CON) = (tplh$A$CON, tphl$A$CON); if (A == 1'b1) (B *> CON) = (tplh$B$CON, tphl$B$CON); if (A == 1'b0) (B *> CON) = (tplh$B$CON, tphl$B$CON); endspecifyendmodule // AFHCONX4`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AHHCINX2 ( S, CO, A, CIN);output S, CO;input A, CIN; not I0 (ci, CIN); xor I1 (S, A, ci); and I2 (CO, A, ci); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CO = 1.0, tphl$A$CO = 1.0, tplh$CIN$S = 1.0, tphl$CIN$S = 1.0, tplh$CIN$CO = 1.0, tphl$CIN$CO = 1.0; if (CIN == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CIN == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b1) (CIN *> S) = (tplh$CIN$S, tphl$CIN$S); if (A == 1'b0) (CIN *> S) = (tplh$CIN$S, tphl$CIN$S); (A *> CO) = (tplh$A$CO, tphl$A$CO); (CIN *> CO) = (tplh$CIN$CO, tphl$CIN$CO); endspecifyendmodule // AHHCINX2`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AHHCINX4 ( S, CO, A, CIN);output S, CO;input A, CIN; not I0 (ci, CIN); xor I1 (S, A, ci); and I2 (CO, A, ci); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CO = 1.0, tphl$A$CO = 1.0, tplh$CIN$S = 1.0, tphl$CIN$S = 1.0, tplh$CIN$CO = 1.0, tphl$CIN$CO = 1.0; if (CIN == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CIN == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b1) (CIN *> S) = (tplh$CIN$S, tphl$CIN$S); if (A == 1'b0) (CIN *> S) = (tplh$CIN$S, tphl$CIN$S); (A *> CO) = (tplh$A$CO, tphl$A$CO); (CIN *> CO) = (tplh$CIN$CO, tphl$CIN$CO); endspecifyendmodule // AHHCINX4`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AHHCONX2 ( S, CON, A, CI);output S, CON;input A, CI; xor I0 (S, A, CI); and I1 (cout, A, CI); not I2 (CON, cout); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CON = 1.0, tphl$A$CON = 1.0, tplh$CI$S = 1.0, tphl$CI$S = 1.0, tplh$CI$CON = 1.0, tphl$CI$CON = 1.0; if (CI == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CI == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b1) (CI *> S) = (tplh$CI$S, tphl$CI$S); if (A == 1'b0) (CI *> S) = (tplh$CI$S, tphl$CI$S); (A *> CON) = (tplh$A$CON, tphl$A$CON); (CI *> CON) = (tplh$CI$CON, tphl$CI$CON); endspecifyendmodule // AHHCONX2`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AHHCONX4 ( S, CON, A, CI);output S, CON;input A, CI; xor I0 (S, A, CI); and I1 (cout, A, CI); not I2 (CON, cout); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CON = 1.0, tphl$A$CON = 1.0, tplh$CI$S = 1.0, tphl$CI$S = 1.0, tplh$CI$CON = 1.0, tphl$CI$CON = 1.0; if (CI == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CI == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (A == 1'b1) (CI *> S) = (tplh$CI$S, tphl$CI$S); if (A == 1'b0) (CI *> S) = (tplh$CI$S, tphl$CI$S); (A *> CON) = (tplh$A$CON, tphl$A$CON); (CI *> CON) = (tplh$CI$CON, tphl$CI$CON); endspecifyendmodule // AHHCONX4`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AFCSHCINX2 ( S, CO0, CO1, A, B, CI0N, CI1N, CS);output S, CO0, CO1;input A, B, CI0N, CI1N, CS; not I0 (cin1, CI1N); not I1 (cin0, CI0N); xor I2 (s1, A, B, cin1); xor I3 (s2, A, B, cin0); and I4 (s3, CS, s1); not I5 (csn, CS); and I6 (s4, csn, s2); or I7 (S, s3, s4); and I8 (a_and_b, A, B); and I9 (a_and_ci0, A, cin0); and I10 (b_and_ci0, B, cin0); or I11 (CO0, a_and_b, a_and_ci0, b_and_ci0); and I12 (a_and_ci1, A, cin1); and I13 (b_and_ci1, B, cin1); or I14 (CO1, a_and_b, a_and_ci1, b_and_ci1); specify specparam tplh$A$S = 1.0, tphl$A$S = 1.0, tplh$A$CO0 = 1.0, tphl$A$CO0 = 1.0, tplh$A$CO1 = 1.0, tphl$A$CO1 = 1.0, tplh$B$S = 1.0, tphl$B$S = 1.0, tplh$B$CO0 = 1.0, tphl$B$CO0 = 1.0, tplh$B$CO1 = 1.0, tphl$B$CO1 = 1.0, tplh$CI0N$S = 1.0, tphl$CI0N$S = 1.0, tplh$CI0N$CO0 = 1.0, tphl$CI0N$CO0 = 1.0, tplh$CI0N$CO1 = 1.0, tphl$CI0N$CO1 = 1.0, tplh$CI1N$S = 1.0, tphl$CI1N$S = 1.0, tplh$CI1N$CO0 = 1.0, tphl$CI1N$CO0 = 1.0, tplh$CI1N$CO1 = 1.0, tphl$CI1N$CO1 = 1.0, tplh$CS$S = 1.0, tphl$CS$S = 1.0, tplh$CS$CO0 = 1.0, tphl$CS$CO0 = 1.0, tplh$CS$CO1 = 1.0, tphl$CS$CO1 = 1.0; if (CS == 1'b1 && A == 1'b0 && CI1N == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b1 && A == 1'b0 && CI1N == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b1 && A == 1'b1 && CI1N == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b1 && A == 1'b1 && CI1N == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b0 && A == 1'b0 && CI0N == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b0 && A == 1'b0 && CI0N == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b0 && A == 1'b1 && CI0N == 1'b1) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b0 && A == 1'b1 && CI0N == 1'b0) (B *> S) = (tplh$B$S, tphl$B$S); if (CS == 1'b1 && B == 1'b0 && CI1N == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b1 && B == 1'b0 && CI1N == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b1 && B == 1'b1 && CI1N == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b1 && B == 1'b1 && CI1N == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b0 && B == 1'b0 && CI0N == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b0 && B == 1'b0 && CI0N == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b0 && B == 1'b1 && CI0N == 1'b1) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b0 && B == 1'b1 && CI0N == 1'b0) (A *> S) = (tplh$A$S, tphl$A$S); if (CS == 1'b0 && !(A == 1'b1 ^ B == 1'b1)) (CI0N *> S) = (tplh$CI0N$S, tphl$CI0N$S); if (CS == 1'b0 && (A == 1'b1 ^ B == 1'b1)) (CI0N *> S) = (tplh$CI0N$S, tphl$CI0N$S); if (CS == 1'b1 && !(A == 1'b1 ^ B == 1'b1)) (CI1N *> S) = (tplh$CI1N$S, tphl$CI1N$S); if (CS == 1'b1 && (A == 1'b1 ^ B == 1'b1)) (CI1N *> S) = (tplh$CI1N$S, tphl$CI1N$S); if ((A == 1'b1 ^ B == 1'b1 ^ CI1N == 1'b0) && !(A == 1'b1 ^ B == 1'b1 ^ CI0N == 1'b0)) (CS *> S) = (tplh$CS$S, tphl$CS$S); if (!(A == 1'b1 ^ B == 1'b1 ^ CI1N == 1'b0) && (A == 1'b1 ^ B == 1'b1 ^ CI0N == 1'b0)) (CS *> S) = (tplh$CS$S, tphl$CS$S); if (A == 1'b1) (B *> CO0) = (tplh$B$CO0, tphl$B$CO0); if (A == 1'b0) (B *> CO0) = (tplh$B$CO0, tphl$B$CO0); if (B == 1'b1) (A *> CO0) = (tplh$A$CO0, tphl$A$CO0); if (B == 1'b0) (A *> CO0) = (tplh$A$CO0, tphl$A$CO0); (CI0N *> CO0) = (tplh$CI0N$CO0, tphl$CI0N$CO0); if (A == 1'b1) (B *> CO1) = (tplh$B$CO1, tphl$B$CO1); if (A == 1'b0) (B *> CO1) = (tplh$B$CO1, tphl$B$CO1); if (B == 1'b1) (A *> CO1) = (tplh$A$CO1, tphl$A$CO1); if (B == 1'b0) (A *> CO1) = (tplh$A$CO1, tphl$A$CO1); (CI1N *> CO1) = (tplh$CI1N$CO0, tphl$CI0N$CO1); endspecifyendmodule // AFCSHCINX2`endcelldefine//$Id: add.genpp,v 1.1.1.1 2002/12/05 17:56:00 ron Exp $//CONFIDENTIAL AND PROPRIETARY SOFTWARE/DATA OF ARTISAN COMPONENTS, INC.////Copyright (c) 2003 Artisan Components, Inc. All Rights Reserved.////Use of this Software/Data is subject to the terms and conditions of//the applicable license agreement between Artisan Components, Inc. and//UMC. In addition, this Software/Data//is protected by copyright law and international treaties.////The copyright notice(s) in this Software/Data does not indicate actual//or intended publication of this Software/Data.`timescale 1ns/1ps`celldefinemodule AFCSHCINX4 ( S, CO0, CO1, A, B, CI0N, CI1N, CS);output S, CO0, CO1;input A, B, CI0N, CI1N, CS; not I0 (cin1, CI1N);
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -