⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 testbed.v

📁 Multiple Numbers Calculator (source code and LAB notes)
💻 V
字号:
`timescale 1ns/10ps
`include"TRIANGLE_SYN.v"
`include"PATTERN.v"


module TESTBED();

wire [11:0] ax, ay, bx, by, cx, cy;
wire [26:0] area;


  TRIANGLE I_TRIANGLE(.AX(ax),.AY(ay),.BX(bx),.BY(by),.CX(cx),.CY(cy),.AREA(area));
 
  PATTERN I_PATTERN(.AX(ax),.AY(ay),.BX(bx),.BY(by),.CX(cx),.CY(cy),.AREA(area));
  


 

  initial
  begin
    $sdf_annotate("TRIANGLE_SYN.sdf", I_TRIANGLE);
    $fsdbDumpfile("TRIANGLE.fsdb");
    $fsdbDumpvars;
  end

endmodule



⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -