📄 smic18.v
字号:
`timescale 1ns/10ps`celldefinemodule AND2HD1X (A, B, Z);input A ;input B ;output Z ; and (Z, A, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND2HD2X (A, B, Z);input A ;input B ;output Z ; and (Z, A, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND2HD2XSPG (A, B, Z);input A ;input B ;output Z ; and (Z, A, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND2HD4X (A, B, Z);input A ;input B ;output Z ; and (Z, A, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND2HD4XSPG (A, B, Z);input A ;input B ;output Z ; and (Z, A, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND2HDLX (A, B, Z);input A ;input B ;output Z ; and (Z, A, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND3HD1X (A, B, C, Z);input A ;input B ;input C ;output Z ; and (I0_out, A, B); and (Z, I0_out, C); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND3HD2X (A, B, C, Z);input A ;input B ;input C ;output Z ; and (I0_out, A, B); and (Z, I0_out, C); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND3HD4X (A, B, C, Z);input A ;input B ;input C ;output Z ; and (I0_out, A, B); and (Z, I0_out, C); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND3HDLX (A, B, C, Z);input A ;input B ;input C ;output Z ; and (I0_out, A, B); and (Z, I0_out, C); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND4HD1X (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); and (I1_out, I0_out, C); and (Z, I1_out, D); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND4HD2X (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); and (I1_out, I0_out, C); and (Z, I1_out, D); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND4HD4X (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); and (I1_out, I0_out, C); and (Z, I1_out, D); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AND4HDLX (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); and (I1_out, I0_out, C); and (Z, I1_out, D); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); (C *> Z) = (0, 0); (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI211HD1X (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); or (I1_out, I0_out, C); or (I2_out, I1_out, D); not (Z, I2_out); not (I4_out, A); not (I5_out, B); and (I6_out, I4_out, I5_out); not (I7_out, B); and (I8_out, A, I7_out); or (\!A&!B|A&!B , I6_out, I8_out); not (I10_out, A); and (\!A&B , I10_out, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); ifnone (C *> Z) = (0, 0); if (!A&!B|A&!B ) (C *> Z) = (0, 0); if (!A&B ) (C *> Z) = (0, 0); ifnone (D *> Z) = (0, 0); if (!A&!B|A&!B ) (D *> Z) = (0, 0); if (!A&B ) (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI211HD2X (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); or (I1_out, I0_out, C); or (I2_out, I1_out, D); not (Z, I2_out); not (I4_out, A); not (I5_out, B); and (I6_out, I4_out, I5_out); not (I7_out, B); and (I8_out, A, I7_out); or (\!A&!B|A&!B , I6_out, I8_out); not (I10_out, A); and (\!A&B , I10_out, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); ifnone (C *> Z) = (0, 0); if (!A&!B|A&!B ) (C *> Z) = (0, 0); if (!A&B ) (C *> Z) = (0, 0); ifnone (D *> Z) = (0, 0); if (!A&!B|A&!B ) (D *> Z) = (0, 0); if (!A&B ) (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI211HD4X (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); or (I1_out, I0_out, C); or (I2_out, I1_out, D); not (Z, I2_out); not (I4_out, A); not (I5_out, B); and (I6_out, I4_out, I5_out); not (I7_out, B); and (I8_out, A, I7_out); or (\!A&!B|A&!B , I6_out, I8_out); not (I10_out, A); and (\!A&B , I10_out, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); ifnone (C *> Z) = (0, 0); if (!A&!B|A&!B ) (C *> Z) = (0, 0); if (!A&B ) (C *> Z) = (0, 0); ifnone (D *> Z) = (0, 0); if (!A&!B|A&!B ) (D *> Z) = (0, 0); if (!A&B ) (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI211HDLX (A, B, C, D, Z);input A ;input B ;input C ;input D ;output Z ; and (I0_out, A, B); or (I1_out, I0_out, C); or (I2_out, I1_out, D); not (Z, I2_out); not (I4_out, A); not (I5_out, B); and (I6_out, I4_out, I5_out); not (I7_out, B); and (I8_out, A, I7_out); or (\!A&!B|A&!B , I6_out, I8_out); not (I10_out, A); and (\!A&B , I10_out, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); ifnone (C *> Z) = (0, 0); if (!A&!B|A&!B ) (C *> Z) = (0, 0); if (!A&B ) (C *> Z) = (0, 0); ifnone (D *> Z) = (0, 0); if (!A&!B|A&!B ) (D *> Z) = (0, 0); if (!A&B ) (D *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI21B2HD1X (AN, BN, C, Z);input AN ;input BN ;input C ;output Z ; or (I0_out, AN, BN); not (I1_out, I0_out); or (I2_out, I1_out, C); not (Z, I2_out); specify // path delays (AN *> Z) = (0, 0); (BN *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI21B2HD2X (AN, BN, C, Z);input AN ;input BN ;input C ;output Z ; or (I0_out, AN, BN); not (I1_out, I0_out); or (I2_out, I1_out, C); not (Z, I2_out); specify // path delays (AN *> Z) = (0, 0); (BN *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI21B2HD4X (AN, BN, C, Z);input AN ;input BN ;input C ;output Z ; or (I0_out, AN, BN); not (I1_out, I0_out); or (I2_out, I1_out, C); not (Z, I2_out); specify // path delays (AN *> Z) = (0, 0); (BN *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI21B2HDLX (AN, BN, C, Z);input AN ;input BN ;input C ;output Z ; or (I0_out, AN, BN); not (I1_out, I0_out); or (I2_out, I1_out, C); not (Z, I2_out); specify // path delays (AN *> Z) = (0, 0); (BN *> Z) = (0, 0); (C *> Z) = (0, 0); endspecifyendmodule`endcelldefine`timescale 1ns/10ps`celldefinemodule AOI21HD1X (A, B, C, Z);input A ;input B ;input C ;output Z ; and (I0_out, A, B); or (I1_out, I0_out, C); not (Z, I1_out); not (I3_out, A); not (I4_out, B); and (I5_out, I3_out, I4_out); not (I6_out, B); and (I7_out, A, I6_out); or (\!A&!B|A&!B , I5_out, I7_out); not (I9_out, A); and (\!A&B , I9_out, B); specify // path delays (A *> Z) = (0, 0); (B *> Z) = (0, 0); ifnone (C *> Z) = (0, 0); if (!A&!B|A&!B ) (C *> Z) = (0, 0); if (!A&B )
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -