📄 tb_mul.v
字号:
module tb_mul;
parameter length=32;
reg[length-1:0] a_i,b_i;
reg clk_i;
wire[2*length-1:0]i;
wire[2*length-1:0]mul_o;
//wire[1:0] div_quotien_o,div_remainder_o;
mul32x32 mul_inst(a_i,b_i,mul_o);
//div div_inst(a_i,b_i,clk_i,div_quotien_o,div_remainder_o);
assign i=a_i*b_i;
initial
begin
clk_i = 1'b0;
forever #50 clk_i= ~clk_i;
end
initial
begin
a_i=32'h0000_0000;
forever #10 a_i=a_i+1;
end
initial
begin
b_i=32'h0000_0000;
forever #60 b_i=b_i+1;
end
initial
begin
$fsdbDumpfile("test_mul.fsdb");
$fsdbDumpvars;
#10000 $finish;
end
endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -