⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 regtable1.vhd

📁 华大机顶盒源码(包括所有源代码).rar
💻 VHD
字号:
------------------------------------------------------------------------ This file is owned and controlled by Xilinx and must be used     ---- solely for design, simulation, implementation and creation of    ---- design files limited to Xilinx devices or technologies. Use      ---- with non-Xilinx devices or technologies is expressly prohibited  ---- and immediately terminates your license.                         ----                                                                  ---- Xilinx products are not intended for use in life support         ---- appliances, devices, or systems. Use in such applications are    ---- expressly prohibited.                                            ----                                                                  ---- Copyright (C) 2001, Xilinx, Inc.  All Rights Reserved.           -------------------------------------------------------------------------- You must compile the wrapper file regtable1.vhd when simulating-- the core, regtable1. When compiling the wrapper file, be sure to-- reference the XilinxCoreLib VHDL simulation library. For detailed-- instructions, please refer to the "Coregen Users Guide".-- The synopsys directives "translate_off/translate_on" specified-- below are supported by XST, FPGA Express, Exemplar and Synplicity-- synthesis tools. Ensure they are correct for your synthesis tool(s).-- synopsys translate_offLIBRARY ieee;USE ieee.std_logic_1164.ALL;Library XilinxCoreLib;ENTITY regtable1 IS	port (	addra: IN std_logic_VECTOR(9 downto 0);	addrb: IN std_logic_VECTOR(9 downto 0);	clka: IN std_logic;	clkb: IN std_logic;	dina: IN std_logic_VECTOR(7 downto 0);	dinb: IN std_logic_VECTOR(7 downto 0);	douta: OUT std_logic_VECTOR(7 downto 0);	doutb: OUT std_logic_VECTOR(7 downto 0);	wea: IN std_logic;	web: IN std_logic);END regtable1;ARCHITECTURE regtable1_a OF regtable1 IScomponent wrapped_regtable1	port (	addra: IN std_logic_VECTOR(9 downto 0);	addrb: IN std_logic_VECTOR(9 downto 0);	clka: IN std_logic;	clkb: IN std_logic;	dina: IN std_logic_VECTOR(7 downto 0);	dinb: IN std_logic_VECTOR(7 downto 0);	douta: OUT std_logic_VECTOR(7 downto 0);	doutb: OUT std_logic_VECTOR(7 downto 0);	wea: IN std_logic;	web: IN std_logic);end component;-- Configuration specification 	for all : wrapped_regtable1 use entity XilinxCoreLib.blkmemdp_v3_1(behavioral)		generic map(			c_has_enb => 0,			c_has_ena => 0,			c_write_modeb => 0,			c_pipe_stages_b => 0,			c_write_modea => 0,			c_pipe_stages_a => 0,			c_addrb_width => 10,			c_has_dinb => 1,			c_has_dina => 1,			c_has_doutb => 1,			c_has_douta => 1,			c_reg_inputsb => 0,			c_has_rfdb => 0,			c_reg_inputsa => 0,			c_has_rfda => 0,			c_mem_init_file => "mif_file_16_1",			c_sinita_value => "00",			c_has_sinitb => 0,			c_has_sinita => 0,			c_depth_b => 1024,			c_depth_a => 1024,			c_has_ndb => 0,			c_has_nda => 0,			c_has_web => 1,			c_sinitb_value => "00",			c_has_wea => 1,			c_default_data => "00",			c_has_default_data => 1,			c_width_b => 8,			c_width_a => 8,			c_limit_data_pitch => 18,			c_has_rdyb => 0,			c_has_rdya => 0,			c_has_limit_data_pitch => 0,			c_enable_rlocs => 0,			c_addra_width => 10);BEGINU0 : wrapped_regtable1		port map (			addra => addra,			addrb => addrb,			clka => clka,			clkb => clkb,			dina => dina,			dinb => dinb,			douta => douta,			doutb => doutb,			wea => wea,			web => web);END regtable1_a;-- synopsys translate_on

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -