📄 rgbtoy_t1.v
字号:
//*******testbench*******//
module RGBtoY_t1;
reg [7:0] MEMA [262143:0];
reg [7:0] MEMB [262143:0];
reg [7:0] MEMC [262143:0];
reg [7:0] R,G,B; //input
wire [7:0] Y; //output
integer fp;
reg [20:0]count,co;
RGBtoY aa1(R,B,G,Y);
initial
begin
fp=$fopen("baboon.dat");
$readmemh("r.dat", MEMA); //?A.dat????load?MEMA?reg???
$readmemh("g.dat", MEMB); //?B.dat????load?MEMV?reg???
$readmemh("b.dat", MEMC); //?B.dat????load?MEMV?reg???
for (count = 21'd0; count <21'd262144; count = count + 1)
begin
#2 R <= MEMA [count];//[co];
G <= MEMB [count];//[co];
B <= MEMC [count];//[co];
#2 $fdisplay(fp,Y[7:0]); //???unsigned ????
end
end
endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -