⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 tech_tsmc25.vhd

📁 ARM7的源代码
💻 VHD
📖 第 1 页 / 共 5 页
字号:
begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 9, dbits => 23)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram4096x22 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(11 downto 0);   D: in std_logic_vector(21 downto 0);   Q: out std_logic_vector(21 downto 0)   );end;architecture behavioral of ram4096x22 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 12, dbits => 22)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram2048x22 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(10 downto 0);   D: in std_logic_vector(21 downto 0);   Q: out std_logic_vector(21 downto 0)   );end;architecture behavioral of ram2048x22 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 11, dbits => 22)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram1024x22 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(9 downto 0);   D: in std_logic_vector(21 downto 0);   Q: out std_logic_vector(21 downto 0)   );end;architecture behavioral of ram1024x22 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 10, dbits => 22)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram4096x21 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(11 downto 0);   D: in std_logic_vector(20 downto 0);   Q: out std_logic_vector(20 downto 0)   );end;architecture behavioral of ram4096x21 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 12, dbits => 21)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram2048x21 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(10 downto 0);   D: in std_logic_vector(20 downto 0);   Q: out std_logic_vector(20 downto 0)   );end;architecture behavioral of ram2048x21 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 11, dbits => 21)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram4096x20 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(11 downto 0);   D: in std_logic_vector(19 downto 0);   Q: out std_logic_vector(19 downto 0)   );end;architecture behavioral of ram4096x20 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 12, dbits => 20)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;-- dpram simulation modelslibrary ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram16x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(3 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(3 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram16x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 4,    dbits => 32,    words => 16)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram136x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(7 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(7 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram136x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 8,    dbits => 32,    words => 136)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram168x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(7 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(7 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram168x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 8,    dbits => 32,    words => 168)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram2048x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(10 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(10 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram2048x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 11,    dbits => 32,    words => 2048)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram1024x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(9 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(9 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram1024x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 10,    dbits => 32,    words => 1024)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram512x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(8 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(8 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram512x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 9,    dbits => 32,    words => 512)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram256x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(7 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(7 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram256x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 8,    dbits => 32,    words => 256)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram128x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(6 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(6 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram128x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 7,    dbits => 32,    words => 128)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram64x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;   AA: in std_logic_vector(5 downto 0);   DA: in std_logic_vector(31 downto 0);   QA: out std_logic_vector(31 downto 0);   CLKB: in std_logic;   CENB: in std_logic;   WENB: in std_logic;   AB: in std_logic_vector(5 downto 0);   DB: in std_logic_vector(31 downto 0);   QB: out std_logic_vector(31 downto 0)   );end;architecture behavioral of dpram64x32 isbegindpram0: tsmc25_dpram_ss  generic map(    abits => 6,    dbits => 32,    words => 64)  port map (   CLKA => CLKA,   CENA => CENA,   WENA => WENA,   AA   => AA,   DA   => DA,   QA   => QA,   CLKB => CLKB,   CENB => CENB,   WENB => WENB,   AB   => AB,   DB   => DB,   QB   => QB  );end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity dpram32x32 is   port (    CLKA: in std_logic;   CENA: in std_logic;   WENA: in std_logic;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -