⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 tech_tsmc25.vhd

📁 ARM7的源代码
💻 VHD
📖 第 1 页 / 共 5 页
字号:
use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x27 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(5 downto 0);   D: in std_logic_vector(26 downto 0);   Q: out std_logic_vector(26 downto 0)   );end;architecture behavioral of ram64x27 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 6, dbits => 27)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram2048x26 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(10 downto 0);   D: in std_logic_vector(25 downto 0);   Q: out std_logic_vector(25 downto 0)   );end;architecture behavioral of ram2048x26 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 11, dbits => 26)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram1024x26 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(9 downto 0);   D: in std_logic_vector(25 downto 0);   Q: out std_logic_vector(25 downto 0)   );end;architecture behavioral of ram1024x26 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 10, dbits => 26)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram512x26 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(8 downto 0);   D: in std_logic_vector(25 downto 0);   Q: out std_logic_vector(25 downto 0)   );end;architecture behavioral of ram512x26 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 9, dbits => 26)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram256x26 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(7 downto 0);   D: in std_logic_vector(25 downto 0);   Q: out std_logic_vector(25 downto 0)   );end;architecture behavioral of ram256x26 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 8, dbits => 26)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram128x26 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(6 downto 0);   D: in std_logic_vector(25 downto 0);   Q: out std_logic_vector(25 downto 0)   );end;architecture behavioral of ram128x26 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 7, dbits => 26)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x26 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(5 downto 0);   D: in std_logic_vector(25 downto 0);   Q: out std_logic_vector(25 downto 0)   );end;architecture behavioral of ram64x26 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 6, dbits => 26)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram2048x25 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(10 downto 0);   D: in std_logic_vector(24 downto 0);   Q: out std_logic_vector(24 downto 0)   );end;architecture behavioral of ram2048x25 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 11, dbits => 25)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram1024x25 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(9 downto 0);   D: in std_logic_vector(24 downto 0);   Q: out std_logic_vector(24 downto 0)   );end;architecture behavioral of ram1024x25 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 10, dbits => 25)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram512x25 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(8 downto 0);   D: in std_logic_vector(24 downto 0);   Q: out std_logic_vector(24 downto 0)   );end;architecture behavioral of ram512x25 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 9, dbits => 25)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram256x25 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(7 downto 0);   D: in std_logic_vector(24 downto 0);   Q: out std_logic_vector(24 downto 0)   );end;architecture behavioral of ram256x25 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 8, dbits => 25)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram128x25 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(6 downto 0);   D: in std_logic_vector(24 downto 0);   Q: out std_logic_vector(24 downto 0)   );end;architecture behavioral of ram128x25 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 7, dbits => 25)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram2048x24 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(10 downto 0);   D: in std_logic_vector(23 downto 0);   Q: out std_logic_vector(23 downto 0)   );end;architecture behavioral of ram2048x24 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 11, dbits => 24)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram1024x24 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(9 downto 0);   D: in std_logic_vector(23 downto 0);   Q: out std_logic_vector(23 downto 0)   );end;architecture behavioral of ram1024x24 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 10, dbits => 24)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram512x24 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(8 downto 0);   D: in std_logic_vector(23 downto 0);   Q: out std_logic_vector(23 downto 0)   );end;architecture behavioral of ram512x24 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 9, dbits => 24)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram256x24 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(7 downto 0);   D: in std_logic_vector(23 downto 0);   Q: out std_logic_vector(23 downto 0)   );end;architecture behavioral of ram256x24 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 8, dbits => 24)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram2048x23 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(10 downto 0);   D: in std_logic_vector(22 downto 0);   Q: out std_logic_vector(22 downto 0)   );end;architecture behavioral of ram2048x23 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 11, dbits => 23)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram1024x23 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(9 downto 0);   D: in std_logic_vector(22 downto 0);   Q: out std_logic_vector(22 downto 0)   );end;architecture behavioral of ram1024x23 issignal wen_s: std_logic_vector(3 downto 0);begin  wen_s(0) <= wen;  wen_s(1) <= wen;  wen_s(2) <= wen;  wen_s(3) <= wen;  syncram0 : tsmc25_syncram_ss    generic map ( abits => 10, dbits => 23)    port map (     CLK => CLK,    CEN => CEN,    WEN => wen_s,    A   => A,    D   => D,    Q   => Q    ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram512x23 is   port (    CLK: in std_logic;   CEN: in std_logic;   WEN: in std_logic;   A: in std_logic_vector(8 downto 0);   D: in std_logic_vector(22 downto 0);   Q: out std_logic_vector(22 downto 0)   );end;architecture behavioral of ram512x23 issignal wen_s: std_logic_vector(3 downto 0);

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -