📄 tech_tsmc25.vhd
字号:
wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 7, dbits => 32) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x32 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(5 downto 0); D: in std_logic_vector(31 downto 0); Q: out std_logic_vector(31 downto 0) );end;architecture behavioral of ram64x32 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 6, dbits => 32) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram32x32 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(4 downto 0); D: in std_logic_vector(31 downto 0); Q: out std_logic_vector(31 downto 0) );end;architecture behavioral of ram32x32 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 5, dbits => 32) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x31 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(5 downto 0); D: in std_logic_vector(30 downto 0); Q: out std_logic_vector(30 downto 0) );end;architecture behavioral of ram64x31 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 6, dbits => 31) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram32x31 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(4 downto 0); D: in std_logic_vector(30 downto 0); Q: out std_logic_vector(30 downto 0) );end;architecture behavioral of ram32x31 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 5, dbits => 31) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram32x30 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(4 downto 0); D: in std_logic_vector(29 downto 0); Q: out std_logic_vector(29 downto 0) );end;architecture behavioral of ram32x30 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 5, dbits => 30) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x30 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(5 downto 0); D: in std_logic_vector(29 downto 0); Q: out std_logic_vector(29 downto 0) );end;architecture behavioral of ram64x30 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 6, dbits => 30) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram128x30 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(6 downto 0); D: in std_logic_vector(29 downto 0); Q: out std_logic_vector(29 downto 0) );end;architecture behavioral of ram128x30 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 7, dbits => 30) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram256x29 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(7 downto 0); D: in std_logic_vector(28 downto 0); Q: out std_logic_vector(28 downto 0) );end;architecture behavioral of ram256x29 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 8, dbits => 29) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram128x29 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(6 downto 0); D: in std_logic_vector(28 downto 0); Q: out std_logic_vector(28 downto 0) );end;architecture behavioral of ram128x29 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 7, dbits => 29) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x29 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(5 downto 0); D: in std_logic_vector(28 downto 0); Q: out std_logic_vector(28 downto 0) );end;architecture behavioral of ram64x29 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 6, dbits => 29) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram512x28 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(8 downto 0); D: in std_logic_vector(27 downto 0); Q: out std_logic_vector(27 downto 0) );end;architecture behavioral of ram512x28 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 9, dbits => 28) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram256x28 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(7 downto 0); D: in std_logic_vector(27 downto 0); Q: out std_logic_vector(27 downto 0) );end;architecture behavioral of ram256x28 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 8, dbits => 28) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram128x28 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(6 downto 0); D: in std_logic_vector(27 downto 0); Q: out std_logic_vector(27 downto 0) );end;architecture behavioral of ram128x28 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 7, dbits => 28) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram64x28 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(5 downto 0); D: in std_logic_vector(27 downto 0); Q: out std_logic_vector(27 downto 0) );end;architecture behavioral of ram64x28 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 6, dbits => 28) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram1024x27 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(9 downto 0); D: in std_logic_vector(26 downto 0); Q: out std_logic_vector(26 downto 0) );end;architecture behavioral of ram1024x27 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 10, dbits => 27) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram512x27 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(8 downto 0); D: in std_logic_vector(26 downto 0); Q: out std_logic_vector(26 downto 0) );end;architecture behavioral of ram512x27 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 9, dbits => 27) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram256x27 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(7 downto 0); D: in std_logic_vector(26 downto 0); Q: out std_logic_vector(26 downto 0) );end;architecture behavioral of ram256x27 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 8, dbits => 27) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;use IEEE.std_logic_1164.all;use work.tech_tsmc25_sim.all;entity ram128x27 is port ( CLK: in std_logic; CEN: in std_logic; WEN: in std_logic; A: in std_logic_vector(6 downto 0); D: in std_logic_vector(26 downto 0); Q: out std_logic_vector(26 downto 0) );end;architecture behavioral of ram128x27 issignal wen_s: std_logic_vector(3 downto 0);begin wen_s(0) <= wen; wen_s(1) <= wen; wen_s(2) <= wen; wen_s(3) <= wen; syncram0 : tsmc25_syncram_ss generic map ( abits => 7, dbits => 27) port map ( CLK => CLK, CEN => CEN, WEN => wen_s, A => A, D => D, Q => Q ); end behavioral;library ieee;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -