⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 verilog.properties

📁 porting scintilla to qt
💻 PROPERTIES
字号:
# Define SciTE settings for Verilog files.# Verilog filesfile.patterns.verilog=*.v;*.vhfilter.verilog=Verilog (verilog)|$(file.patterns.verilog)|lexer.$(file.patterns.verilog)=verilogword.chars.verilog=$(chars.alpha)$(chars.numeric)_`$#word.characters.$(file.patterns.verilog)=$(word.chars.verilog)calltip.verilog.word.characters=$(chars.alpha)$(chars.numeric)_$comment.block.verilog=//~#comment.block.at.line.start.verilog=1comment.stream.start.verilog=/*comment.stream.end.verilog=*/comment.box.start.verilog=/*comment.box.middle.verilog= *comment.box.end.verilog= */fold.verilog.flags=0#statement.lookback.$(file.patterns.verilog)=20#block.start.$(file.patterns.verilog)=5 begin#block.end.$(file.patterns.verilog)=5 end#statement.indent.$(file.patterns.verilog)=5 always case casex casez else for if while \#module function taskindent.maintain.$(file.patterns.verilog)=1;preprocessor.symbol.$(file.patterns.verilog)=`preprocessor.start.$(file.patterns.verilog)=ifdefpreprocessor.middle.$(file.patterns.verilog)=elsepreprocessor.end.$(file.patterns.verilog)=endifkeywordclass.verilog=always and assign begin \xbuf buf bufif0 bufif1 case casex casez cmos \default defparam else end endcase \endfunction endmodule endprimitive endspecify \endtable endtask event for force forever \fork function if initial inout input \integer join macromodule makefile module \nand negedge nmos nor not notif0 notif1 \or output parameter pmos posedge primitive \pulldown pullup rcmos real realtime reg \repeat rnmos rpmos rtran rtranif0 rtranif1 \signed specify specparam supply supply0 supply1 table \task time tran tranif0 tranif1 tri tri0 \tri1 triand trior trireg vectored wait \wand while wire wor xnor xorkeywords.$(file.patterns.verilog)=$(keywordclass.verilog)keywords3.$(file.patterns.verilog)=$readmemb $readmemh $sreadmemb $sreadmemh $display $write $strobe $monitor $fdisplay $fwrite $fstrobe \$fmonitor $fopen $fclose $time $stime $realtime $scale $printtimescale $timeformat $stop $finish $save \$incsave $restart $input $log $nolog $key $nokey $scope $showscopes $showscopes $showvars $showvars \$countdrivers $list $monitoron $monitoroff $dumpon $dumpoff $dumpfile $dumplimit $dumpflush $dumpvars \$dumpall $reset $reset $reset $reset $reset $random $getpattern $rtoi $itor $realtobits $bitstoreal \$setup $hold $setuphold $period $width $skew $recovery# Verilog styles# Defaultstyle.verilog.32=$(font.base)# White spacestyle.verilog.0=fore:#808080# Commentstyle.verilog.1=$(colour.code.comment.box),$(font.code.comment.box)# Line Commentstyle.verilog.2=$(colour.code.comment.line),$(font.code.comment.line)# Bang commentstyle.verilog.3=fore:#3F7F3F,$(font.code.comment.line),back:#E0F0FF,eolfilled# Numberstyle.verilog.4=$(colour.number)# Keywordstyle.verilog.5=$(colour.keyword),bold# Double quoted stringstyle.verilog.6=$(colour.string),$(font.string.literal)# Keyword2style.verilog.7=fore:#007F7F# System tasksstyle.verilog.8=fore:#804020# Preprocessorstyle.verilog.9=$(colour.preproc)# Operators#style.verilog.10=$(colour.operator),boldstyle.verilog.10=fore:#007070,bold# Identifiersstyle.verilog.11=# End of line where string is not closedstyle.verilog.12=fore:#000000,$(font.string.literal),back:#E0C0E0,eolfilled# User defined identifiers and tasksstyle.verilog.19=fore:#804020,$(font.code.comment.doc)# Braces are only matched in operator stylebraces.verilog.style=10

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -