⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 fp.vhd

📁 ProtelDXp 实现计算器功能
💻 VHD
字号:


-----------------------------       分    频    模     块      --------------------------------------  

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity fp is
       Port ( clk     : in  std_logic;    -- CLK为时钟输入
              sec1 : out  std_logic);   --分频后的输出信号SEC1
end fp ;
architecture fpexc of fp is
   begin
   process(clk)
   variable c : integer range 0 to 200000;
         begin
         if  rising_edge(clk) then
               if c<200000 then c:=c+1;
               else c:=1;
               end if;
         end if;
         if c>190000 then sec1<='1';       --占空比为50%      
         else sec1<='0';
         end if;
   end process;
end fpexc;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -