⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 shiliujinzhibianmaqi.txt

📁 包内共有六个源代码
💻 TXT
字号:
library ieee;
use ieee.std_logic_1164.all;
entity shiliujinzhibianmaqi is
 port(x,y:in std_logic_vector(3 downto 0);
        s:out std_logic_vector(3 downto 0));
 end shiliujinzhibianmaqi;
architecture jiegou of shiliujinzhibianmaqi is
  begin
    process(x,y)
      variable xy:std_logic_vector(7 downto 0);
        begin
          xy:=(x&y);
           case xy is
            when b"11101110"=>s<=b"0000";  
            when b"11101101"=>s<=b"0001";      
            when b"11101011"=>s<=b"0010";     
            when b"11100111"=>s<=b"0011";           
            when b"11011110"=>s<=b"0100"; 
            when b"11011101"=>s<=b"0101";    
            when b"11011011"=>s<=b"0110";   
            when b"11010111"=>s<=b"0111";   
            when b"10111110"=>s<=b"1000";    
            when b"10111101"=>s<=b"1001";    
            when b"10111011"=>s<=b"1010";   
            when b"10110111"=>s<=b"1011";   
            when b"01111110"=>s<=b"1100";      
            when b"01111101"=>s<=b"1101";     
            when b"01111011"=>s<=b"1110";     
            when b"01110111"=>s<=b"1111";
            when others     =>s<=b"0000";
           end case;
      end process;
 end jiegou;
   

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -