📄 muxtest.v
字号:
/*MUX SIMULATION*/`timescale 1ns/1nsmodule SELE_TEST;reg [7:0]IN;reg [2:0]SEL_IN;wire F;SELE SEL (IN,SEL_IN,F);always #800 SEL_IN=SEL_IN+1;initial begin SEL_IN=0;IN=1; #50 IN[0]=1; #50 IN[0]=0; #50 IN[0]=1; #50 IN[0]=0; #50 IN[0]=0; #50 IN[0]=1; #50 IN[0]=0; #50 IN[0]=0; #50 IN[0]=1; #50 IN[0]=1; #50 IN[0]=1; #50 IN[0]=0; #50 IN[0]=0; #50 IN[0]=0; #50 IN[0]=0; #50 IN[1]=0; #50 IN[1]=0; #50 IN[1]=1; #50 IN[1]=1; #50 IN[1]=0; #50 IN[1]=1; #50 IN[1]=0; #50 IN[1]=0; #50 IN[1]=0; #50 IN[1]=0; #50 IN[1]=0; #50 IN[1]=1; #50 IN[1]=0; #50 IN[1]=1; #50 IN[1]=0; #50 IN[1]=0; #50 $finish;endendmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -