📄 mux_shifter.vhd
字号:
library ieee;use ieee.std_logic_1164.all;entity MUX_shifter is port ( S : in std_logic_vector(1 downto 0); P0 : in std_logic; P1 : in std_logic; P2 : in std_logic; B : in std_logic; H : out std_logic);end MUX_shifter;architecture rtl of MUX_shifter isbegin process(S) begin case S is when "00" => H <= B; when "01" => H <= p1; when "10" => H <= P2; when others => null; end case; end process;end rtl;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -