📄 wb_conmax_top.v
字号:
wire m7s3_cyc;wire m7s3_stb;wire m7s3_ack;wire m7s3_err;wire m7s3_rty;wire [dw-1:0] m7s4_data_i;wire [dw-1:0] m7s4_data_o;wire [aw-1:0] m7s4_addr;wire [sw-1:0] m7s4_sel;wire m7s4_we;wire m7s4_cyc;wire m7s4_stb;wire m7s4_ack;wire m7s4_err;wire m7s4_rty;wire [dw-1:0] m7s5_data_i;wire [dw-1:0] m7s5_data_o;wire [aw-1:0] m7s5_addr;wire [sw-1:0] m7s5_sel;wire m7s5_we;wire m7s5_cyc;wire m7s5_stb;wire m7s5_ack;wire m7s5_err;wire m7s5_rty;wire [dw-1:0] m7s6_data_i;wire [dw-1:0] m7s6_data_o;wire [aw-1:0] m7s6_addr;wire [sw-1:0] m7s6_sel;wire m7s6_we;wire m7s6_cyc;wire m7s6_stb;wire m7s6_ack;wire m7s6_err;wire m7s6_rty;wire [dw-1:0] m7s7_data_i;wire [dw-1:0] m7s7_data_o;wire [aw-1:0] m7s7_addr;wire [sw-1:0] m7s7_sel;wire m7s7_we;wire m7s7_cyc;wire m7s7_stb;wire m7s7_ack;wire m7s7_err;wire m7s7_rty;wire [dw-1:0] m7s8_data_i;wire [dw-1:0] m7s8_data_o;wire [aw-1:0] m7s8_addr;wire [sw-1:0] m7s8_sel;wire m7s8_we;wire m7s8_cyc;wire m7s8_stb;wire m7s8_ack;wire m7s8_err;wire m7s8_rty;wire [dw-1:0] m7s9_data_i;wire [dw-1:0] m7s9_data_o;wire [aw-1:0] m7s9_addr;wire [sw-1:0] m7s9_sel;wire m7s9_we;wire m7s9_cyc;wire m7s9_stb;wire m7s9_ack;wire m7s9_err;wire m7s9_rty;wire [dw-1:0] m7s10_data_i;wire [dw-1:0] m7s10_data_o;wire [aw-1:0] m7s10_addr;wire [sw-1:0] m7s10_sel;wire m7s10_we;wire m7s10_cyc;wire m7s10_stb;wire m7s10_ack;wire m7s10_err;wire m7s10_rty;wire [dw-1:0] m7s11_data_i;wire [dw-1:0] m7s11_data_o;wire [aw-1:0] m7s11_addr;wire [sw-1:0] m7s11_sel;wire m7s11_we;wire m7s11_cyc;wire m7s11_stb;wire m7s11_ack;wire m7s11_err;wire m7s11_rty;wire [dw-1:0] m7s12_data_i;wire [dw-1:0] m7s12_data_o;wire [aw-1:0] m7s12_addr;wire [sw-1:0] m7s12_sel;wire m7s12_we;wire m7s12_cyc;wire m7s12_stb;wire m7s12_ack;wire m7s12_err;wire m7s12_rty;wire [dw-1:0] m7s13_data_i;wire [dw-1:0] m7s13_data_o;wire [aw-1:0] m7s13_addr;wire [sw-1:0] m7s13_sel;wire m7s13_we;wire m7s13_cyc;wire m7s13_stb;wire m7s13_ack;wire m7s13_err;wire m7s13_rty;wire [dw-1:0] m7s14_data_i;wire [dw-1:0] m7s14_data_o;wire [aw-1:0] m7s14_addr;wire [sw-1:0] m7s14_sel;wire m7s14_we;wire m7s14_cyc;wire m7s14_stb;wire m7s14_ack;wire m7s14_err;wire m7s14_rty;wire [dw-1:0] m7s15_data_i;wire [dw-1:0] m7s15_data_o;wire [aw-1:0] m7s15_addr;wire [sw-1:0] m7s15_sel;wire m7s15_we;wire m7s15_cyc;wire m7s15_stb;wire m7s15_ack;wire m7s15_err;wire m7s15_rty;wire [15:0] conf0;wire [15:0] conf1;wire [15:0] conf2;wire [15:0] conf3;wire [15:0] conf4;wire [15:0] conf5;wire [15:0] conf6;wire [15:0] conf7;wire [15:0] conf8;wire [15:0] conf9;wire [15:0] conf10;wire [15:0] conf11;wire [15:0] conf12;wire [15:0] conf13;wire [15:0] conf14;wire [15:0] conf15;//////////////////////////////////////////////////////////////////////// Initial Configuration Check//// synopsys translate_offinitial begin if(dw<16) begin $display("ERROR: Setting Data bus width to less than 16 bits, will"); $display(" make it impossible to use the configurations registers."); $finish; end end// synopsys translate_on//////////////////////////////////////////////////////////////////////// Master Interfaces//wb_conmax_master_if #(dw,aw,sw) m0( .clk_i( clk_i ), .rst_i( rst_i ), .wb_data_i( m0_data_i ), .wb_data_o( m0_data_o ), .wb_addr_i( m0_addr_i ), .wb_sel_i( m0_sel_i ), .wb_we_i( m0_we_i ), .wb_cyc_i( m0_cyc_i ), .wb_stb_i( m0_stb_i ), .wb_ack_o( m0_ack_o ), .wb_err_o( m0_err_o ), .wb_rty_o( m0_rty_o ), .s0_data_i( m0s0_data_i ), .s0_data_o( m0s0_data_o ), .s0_addr_o( m0s0_addr ), .s0_sel_o( m0s0_sel ), .s0_we_o( m0s0_we ), .s0_cyc_o( m0s0_cyc ), .s0_stb_o( m0s0_stb ), .s0_ack_i( m0s0_ack ), .s0_err_i( m0s0_err ), .s0_rty_i( m0s0_rty ), .s1_data_i( m0s1_data_i ), .s1_data_o( m0s1_data_o ), .s1_addr_o( m0s1_addr ), .s1_sel_o( m0s1_sel ), .s1_we_o( m0s1_we ), .s1_cyc_o( m0s1_cyc ), .s1_stb_o( m0s1_stb ), .s1_ack_i( m0s1_ack ), .s1_err_i( m0s1_err ), .s1_rty_i( m0s1_rty ), .s2_data_i( m0s2_data_i ), .s2_data_o( m0s2_data_o ), .s2_addr_o( m0s2_addr ), .s2_sel_o( m0s2_sel ), .s2_we_o( m0s2_we ), .s2_cyc_o( m0s2_cyc ), .s2_stb_o( m0s2_stb ), .s2_ack_i( m0s2_ack ), .s2_err_i( m0s2_err ), .s2_rty_i( m0s2_rty ), .s3_data_i( m0s3_data_i ), .s3_data_o( m0s3_data_o ), .s3_addr_o( m0s3_addr ), .s3_sel_o( m0s3_sel ), .s3_we_o( m0s3_we ), .s3_cyc_o( m0s3_cyc ), .s3_stb_o( m0s3_stb ), .s3_ack_i( m0s3_ack ), .s3_err_i( m0s3_err ), .s3_rty_i( m0s3_rty ), .s4_data_i( m0s4_data_i ), .s4_data_o( m0s4_data_o ), .s4_addr_o( m0s4_addr ), .s4_sel_o( m0s4_sel ), .s4_we_o( m0s4_we ), .s4_cyc_o( m0s4_cyc ), .s4_stb_o( m0s4_stb ), .s4_ack_i( m0s4_ack ), .s4_err_i( m0s4_err ), .s4_rty_i( m0s4_rty ), .s5_data_i( m0s5_data_i ), .s5_data_o( m0s5_data_o ), .s5_addr_o( m0s5_addr ), .s5_sel_o( m0s5_sel ), .s5_we_o( m0s5_we ), .s5_cyc_o( m0s5_cyc ), .s5_stb_o( m0s5_stb ), .s5_ack_i( m0s5_ack ), .s5_err_i( m0s5_err ), .s5_rty_i( m0s5_rty ), .s6_data_i( m0s6_data_i ), .s6_data_o( m0s6_data_o ), .s6_addr_o( m0s6_addr ), .s6_sel_o( m0s6_sel ), .s6_we_o( m0s6_we ), .s6_cyc_o( m0s6_cyc ), .s6_stb_o( m0s6_stb ), .s6_ack_i( m0s6_ack ), .s6_err_i( m0s6_err ), .s6_rty_i( m0s6_rty ), .s7_data_i( m0s7_data_i ), .s7_data_o( m0s7_data_o ), .s7_addr_o( m0s7_addr ), .s7_sel_o( m0s7_sel ), .s7_we_o( m0s7_we ), .s7_cyc_o( m0s7_cyc ), .s7_stb_o( m0s7_stb ), .s7_ack_i( m0s7_ack ), .s7_err_i( m0s7_err ), .s7_rty_i( m0s7_rty ), .s8_data_i( m0s8_data_i ), .s8_data_o( m0s8_data_o ), .s8_addr_o( m0s8_addr ), .s8_sel_o( m0s8_sel ), .s8_we_o( m0s8_we ), .s8_cyc_o( m0s8_cyc ), .s8_stb_o( m0s8_stb ), .s8_ack_i( m0s8_ack ), .s8_err_i( m0s8_err ), .s8_rty_i( m0s8_rty ), .s9_data_i( m0s9_data_i ), .s9_data_o( m0s9_data_o ), .s9_addr_o( m0s9_addr ), .s9_sel_o( m0s9_sel ), .s9_we_o( m0s9_we ), .s9_cyc_o( m0s9_cyc ), .s9_stb_o( m0s9_stb ), .s9_ack_i( m0s9_ack ), .s9_err_i( m0s9_err ), .s9_rty_i( m0s9_rty ), .s10_data_i( m0s10_data_i ), .s10_data_o( m0s10_data_o ), .s10_addr_o( m0s10_addr ), .s10_sel_o( m0s10_sel ), .s10_we_o( m0s10_we ), .s10_cyc_o( m0s10_cyc ), .s10_stb_o( m0s10_stb ), .s10_ack_i( m0s10_ack ), .s10_err_i( m0s10_err ), .s10_rty_i( m0s10_rty ), .s11_data_i( m0s11_data_i ), .s11_data_o( m0s11_data_o ), .s11_addr_o( m0s11_addr ), .s11_sel_o( m0s11_sel ), .s11_we_o( m0s11_we ), .s11_cyc_o( m0s11_cyc ), .s11_stb_o( m0s11_stb ), .s11_ack_i( m0s11_ack ), .s11_err_i( m0s11_err ), .s11_rty_i( m0s11_rty ), .s12_data_i( m0s12_data_i ), .s12_data_o( m0s12_data_o ), .s12_addr_o( m0s12_addr ), .s12_sel_o( m0s12_sel ), .s12_we_o( m0s12_we ), .s12_cyc_o( m0s12_cyc ), .s12_stb_o( m0s12_stb ), .s12_ack_i( m0s12_ack ), .s12_err_i( m0s12_err ), .s12_rty_i( m0s12_rty ), .s13_data_i( m0s13_data_i ), .s13_data_o( m0s13_data_o ), .s13_addr_o( m0s13_addr ), .s13_sel_o( m0s13_sel ), .s13_we_o( m0s13_we ), .s13_cyc_o( m0s13_cyc ), .s13_stb_o( m0s13_stb ), .s13_ack_i( m0s13_ack ), .s13_err_i( m0s13_err ), .s13_rty_i( m0s13_rty ), .s14_data_i( m0s14_data_i ), .s14_data_o( m0s14_data_o ), .s14_addr_o( m0s14_addr ), .s14_sel_o( m0s14_sel ), .s14_we_o( m0s14_we ), .s14_cyc_o( m0s14_cyc ), .s14_stb_o( m0s14_stb ), .s14_ack_i( m0s14_ack ), .s14_err_i( m0s14_err ), .s14_rty_i( m0s14_rty ), .s15_data_i( m0s15_data_i ), .s15_data_o( m0s15_data_o ), .s15_addr_o( m0s15_addr ), .s15_sel_o( m0s15_sel ), .s15_we_o( m0s15_we ), .s15_cyc_o( m0s15_cyc ), .s15_stb_o( m0s15_stb ), .s15_ack_i( m0s15_ack ), .s15_err_i( m0s15_err ), .s15_rty_i( m0s15_rty ) );wb_conmax_master_if #(dw,aw,sw) m1( .clk_i( clk_i ), .rst_i( rst_i ), .wb_data_i( m1_data_i ), .wb_data_o( m1_data_o ), .wb_addr_i( m1_addr_i ), .wb_sel_i( m1_sel_i ), .wb_we_i( m1_we_i ), .wb_cyc_i( m1_cyc_i ), .wb_stb_i( m1_stb_i ), .wb_ack_o( m1_ack_o ), .wb_err_o( m1_err_o ), .wb_rty_o( m1_rty_o ), .s0_data_i( m1s0_data_i ), .s0_data_o( m1s0_data_o ), .s0_addr_o( m1s0_addr ), .s0_sel_o( m1s0_sel ), .s0_we_o( m1s0_we ), .s0_cyc_o( m1s0_cyc ), .s0_stb_o( m1s0_stb ), .s0_ack_i( m1s0_ack ), .s0_err_i( m1s0_err ), .s0_rty_i( m1s0_rty ), .s1_data_i( m1s1_data_i ), .s1_data_o( m1s1_data_o ), .s1_addr_o( m1s1_addr ), .s1_sel_o( m1s1_sel ), .s1_we_o( m1s1_we ), .s1_cyc_o( m1s1_cyc ), .s1_stb_o( m1s1_stb ), .s1_ack_i( m1s1_ack ), .s1_err_i( m1s1_err ), .s1_rty_i( m1s1_rty ), .s2_data_i( m1s2_data_i ), .s2_data_o( m1s2_data_o ), .s2_addr_o( m1s2_addr ), .s2_sel_o( m1s2_sel ), .s2_we_o( m1s2_we ), .s2_cyc_o( m1s2_cyc ), .s2_stb_o( m1s2_stb ), .s2_ack_i( m1s2_ack ), .s2_err_i( m1s2_err ), .s2_rty_i( m1s2_rty ), .s3_data_i( m1s3_data_i ), .s3_data_o( m1s3_data_o ), .s3_addr_o( m1s3_addr ), .s3_sel_o( m1s3_sel ), .s3_we_o( m1s3_we ), .s3_cyc_o( m1s3_cyc ), .s3_stb_o( m1s3_stb ), .s3_ack_i( m1s3_ack ), .s3_err_i( m1s3_err ), .s3_rty_i( m1s3_rty ), .s4_data_i( m1s4_data_i ), .s4_data_o( m1s4_data_o ), .s4_addr_o( m1s4_addr ), .s4_sel_o( m1s4_sel ), .s4_we_o( m1s4_we ), .s4_cyc_o( m1s4_cyc ), .s4_stb_o( m1s4_stb ), .s4_ack_i( m1s4_ack ), .s4_err_i( m1s4_err ), .s4_rty_i( m1s4_rty ), .s5_data_i( m1s5_data_i ), .s5_data_o( m1s5_data_o ), .s5_addr_o( m1s5_addr ), .s5_sel_o( m1s5_sel ), .s5_we_o( m1s5_we ), .s5_cyc_o( m1s5_cyc ), .s5_stb_o( m1s5_stb ), .s5_ack_i( m1s5_ack ), .s5_err_i( m1s5_err ), .s5_rty_i( m1s5_rty ), .s6_data_i( m1s6_data_i ), .s6_data_o( m1s6_data_o ), .s6_addr_o( m1s6_addr ), .s6_sel_o( m1s6_sel ), .s6_we_o( m1s6_we ), .s6_cyc_o( m1s6_cyc ), .s6_stb_o( m1s6_stb ), .s6_ack_i( m1s6_ack ), .s6_err_i( m1s6_err ), .s6_rty_i( m1s6_rty ), .s7_data_i( m1s7_data_i ), .s7_data_o( m1s7_data_o ), .s7_addr_o( m1s7_addr ), .s7_sel_o( m1s7_sel ), .s7_we_o( m1s7_we ), .s7_cyc_o( m1s7_cyc ), .s7_stb_o( m1s7_stb ), .s7_ack_i( m1s7_ack ), .s7_err_i( m1s7_err ), .s7_rty_i( m1s7_rty ), .s8_data_i( m1s8_data_i ), .s8_data_o( m1s8_data_o ), .s8_addr_o( m1s8_addr ), .s8_sel_o( m1s8_sel ), .s8_we_o( m1s8_we ), .s8_cyc_o( m1s8_cyc ), .s8_stb_o( m1s8_stb ), .s8_ack_i( m1s8_ack ), .s8_err_i( m1s8_err ), .s8_rty_i( m1s8_rty ), .s9_data_i( m1s9_data_i ), .s9_data_o( m1s9_data_o ), .s9_addr_o( m1s9_addr ), .s9_sel_o( m1s9_sel ), .s9_we_o( m1s9_we ), .s9_cyc_o( m1s9_cyc ), .s9_stb_o( m1s9_stb ), .s9_ack_i( m1s9_ack ), .s9_err_i( m1s9_err ), .s9_rty_i( m1s9_rty ), .s10_data_i( m1s10_data_i ), .s10_data_o( m1s10_data_o ), .s10_addr_o( m1s10_addr ), .s10_sel_o( m1s10_sel ), .s10_we_o( m1s10_we ), .s10_cyc_o( m1s10_cyc ), .s10_stb_o( m1s10_stb ), .s10_ack_i( m1s10_ack ), .s10_err_i( m1s10_err ), .s10_rty_i( m1s10_rty ), .s11_data_i( m1s11_data_i ), .s11_data_o( m1s11_data_o ), .s11_addr_o( m1s11_addr ), .s11_sel_o( m1s11_sel ), .s11_we_o( m1s11_we ), .s11_cyc_o( m1s11_cyc ), .s11_stb_o( m1s11_stb ), .s11_ack_i( m1s11_ack ), .s11_err_i( m1s11_err ), .s11_rty_i( m1s11_rty ), .s12_data_i( m1s12_data_i ), .s12_data_o( m1s12_data_o ), .s12_addr_o( m1s12_addr ), .s12_sel_o( m1s12_sel ), .s12_we_o( m1s12_we ), .s12_cyc_o( m1s12_cyc ), .s12_stb_o( m1s12_stb ), .s12_ack_i( m1s12_ack ), .s12_err_i( m1s12_err ), .s12_rty_i( m1s12_rty ), .s13_data_i( m1s13_data_i ), .s13_data_o( m1s13_data_o ), .s13_addr_o( m1s13_addr ), .s13_sel_o( m1s13_sel ), .s13_we_o( m1s13_we ), .s13_cyc_o( m1s13_cyc ), .s13_stb_o( m1s13_stb ), .s13_ack_i( m1s13_ack ), .s13_err_i( m1s13_err ), .s13_rty_i( m1s13_rty ), .s14_data_i( m1s14_data_i ), .s14_data_o( m1s14_data_o ), .s14_addr_o( m1s14_addr ), .s14_sel_o( m1s14_sel ), .s14_we_o( m1s14_we ), .s14_cyc_o( m1s14_cyc ), .s14_stb_o( m1s14_stb ), .s14_ack_i( m1s14_ack ), .s14_err_i( m1s14_err ), .s14_rty_i( m1s14_rty ), .s15_data_i( m1s15_data_i ), .s15_data_o( m1s15_data_o ), .s15_addr_o( m1s15_addr ), .s15_sel_o( m1s15_sel ), .s15_we_o( m1s15_we ), .s15_cyc_o( m1s15_cyc ), .s15_stb_o( m1s15_stb ), .s15_ack_i( m1s15_ack ), .s15_err_i( m1s15_err ), .s15_rty_i( m1s15_rty ) );wb_conmax_master_if #(dw,aw,sw) m2( .clk_i( clk_i ), .rst_i( rst_i ), .wb_data_i( m2_data_i ), .wb_data_o( m2_data_o ), .wb_addr_i( m2_addr_i ), .wb_sel_i( m2_sel_i ), .wb_we_i( m2_we_i ), .wb_cyc_i( m2_cyc_i ), .wb_stb_i( m2_stb_i ), .wb_ack_o( m2_ack_o ), .wb_err_o( m2_err_o ), .wb_rty_o( m2_rty_o ), .s0_data_i( m2s0_data_i ), .s0_data_o( m2s0_data_o ), .s0_addr_o( m2s0_addr ), .s0_sel_o( m2s0_sel ), .s0_we_o( m2s0_we ), .s0_cyc_o( m2s0_cyc ), .s0_stb_o( m2s0_stb ), .s0_ack_i( m2s0_ack ), .s0_err_i( m2s0_err ), .s0_rty_i( m2s0_rty ), .s1_data_i( m2s1_data_i ), .s1_data_o( m2s1_data_o ), .s1_addr_o( m2s1_addr ), .s1_sel_o( m2s1_sel ), .s1_we_o( m2s1_we ), .s1_cyc_o( m2s1_cyc ), .s1_stb_o( m2s1_stb ), .s1_ack_i( m2s1_ack ), .s1_err_i( m2s1_err ), .s1_rty_i( m2s1_rty ), .s2_data_i( m2s2_data_i ), .s2_data_o( m2s2_data_o ), .s2_addr_o( m2s2_addr ), .s2_sel_o( m2s2_sel ), .s2_we_o( m2s2_we ),
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -