⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 clockdiv.vhd

📁 Allegro原理图和PCB
💻 VHD
字号:
-- clockdiv.vhd
library ieee;
use ieee.std_logic_1164.all;

entity clockdiv is
  port(Aclr, CLK : in std_logic; Q : out std_logic) ;
end clockdiv;

architecture behavioural of clockdiv is

 signal data,Q_net : std_logic ;

begin

Q <= Q_net;
data <= not Q_net;

    clockdiv_FF : process(CLK, ACLR)
    begin
        if ACLR='0' then
			if (CLK='1' and CLK'event) then
			Q_net <= data;
			end if;
		else
            Q_net <='0';
        end if;
    end process clockdiv_FF;

end behavioural;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -