⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 transcript

📁 已经在xilinx的ML555开发板上实现的PCIEx4的设计
💻
📖 第 1 页 / 共 3 页
字号:
# //  ModelSim SE 6.2e Nov 16 2006 Linux 2.6.9-42.0.3.ELsmp# //# //  Copyright 2006 Mentor Graphics Corporation# //              All Rights Reserved.# //# //  THIS WORK CONTAINS TRADE SECRET AND # //  PROPRIETARY INFORMATION WHICH IS THE PROPERTY# //  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS# //  AND IS SUBJECT TO LICENSE TERMS.# //do simulation_mti.do# Cannot open macro file: simulation_mti.dodo simulate_mti.do# Reading modelsim.ini# "work" maps to directory work. (Default mapping)# Model Technology ModelSim SE vlog 6.2e Compiler 2006.11 Nov 16 2006# -- Compiling module novas_vlog# # Top level modules:# 	novas_vlog# Model Technology ModelSim SE vlog 6.2e Compiler 2006.11 Nov 16 2006# -- Compiling module BMD# -- Compiling module BMD_64_RX_ENGINE# -- Compiling module BMD_64_TX_ENGINE# -- Compiling module BMD_EP_MEM_ACCESS# -- Compiling module BMD_EP_MEM# -- Compiling module BMD_EP# -- Compiling module BMD_INTR_CTRL# -- Compiling module BMD_TO_CTRL# -- Compiling module xilinx_pci_exp_4_lane_ep# -- Compiling module pci_exp_64b_app# -- Compiling module endpoint_blk_plus_v1_5# -- Compiling module glbl# -- Compiling module boardx04# -- Compiling module xilinx_pci_exp_4_lane_downstream_port# -- Compiling module xilinx_pci_exp_4_lane_dsport# -- Compiling module dsport_cfg# -- Compiling module pci_exp_usrapp_rx# -- Compiling module pci_exp_usrapp_tx# -- Compiling module pci_exp_usrapp_com# -- Compiling module pci_exp_usrapp_cfg# -- Compiling module pci_exp_4_lane_64b_dsport# -- Compiling module sys_clk_gen# -- Compiling module sys_clk_gen_ds# -- Scanning library directory '/program/ise92/verilog/src/simprims'# -- Scanning library directory '/program/ise92/verilog/src/unisims'# -- Compiling module IBUFDS# -- Compiling module IBUF# -- Compiling module VCC# -- Compiling module GND# -- Compiling module INV# -- Compiling module LUT5# -- Compiling module LUT3# -- Compiling module LUT4# -- Compiling module FDR# -- Compiling module LUT2# -- Compiling module LUT6# -- Compiling module FDC# -- Compiling module FDCE# -- Compiling module FDP# -- Compiling module BUFG# -- Compiling module PLL_ADV# -- Compiling module PCIE_INTERNAL_1_1# -- Compiling module RAMB36_EXP# -- Compiling module RAMB36SDP_EXP# -- Compiling module FDRE# -- Compiling module GTP_DUAL# -- Compiling module LDP_1# -- Compiling module FD# -- Compiling module FDE# -- Compiling module SRLC16E# -- Compiling module MUXF7# -- Compiling module FDRS# -- Compiling module LUT1# -- Compiling module FDRSE# -- Compiling module FDS# -- Compiling module FDSE# -- Compiling module MUXCY# -- Compiling module XORCY# -- Compiling module RAM32X1D# -- Compiling module GT11CLK_MGT# -- Compiling module OBUF# -- Compiling module LUT4_L# -- Compiling module LUT1_L# -- Compiling module LUT2_L# -- Compiling module LUT3_L# -- Compiling module MUXF5# -- Compiling module FDPE# -- Compiling module BUF# -- Compiling module DCM_ADV# -- Compiling module dcm_adv_clock_divide_by_2# -- Compiling module dcm_adv_maximum_period_check# -- Compiling module dcm_adv_clock_lost# -- Compiling module BUFGMUX_VIRTEX4# -- Compiling module MUXCY_L# -- Compiling module SRLC16# -- Compiling module SRL16# -- Compiling module GT11# -- Compiling module RAM16X1D# -- Compiling module SRL16E# -- Compiling module RAMB16_S18_S18# -- Compiling module MULT_AND# -- Compiling module MUXF6# -- Compiling module ARAMB36_INTERNAL# -- Compiling module BUFGCTRL# -- Scanning library directory '/program/ise92/smartmodel/lin/wrappers/mtiverilog'# -- Compiling module PCIE_INTERNAL_1_1_SWIFT# -- Compiling module GTP_DUAL_SWIFT# -- Compiling module GT11_SWIFT# -- Compiling module PCIE_INTERNAL_1_1_SWIFT_BIT# -- Compiling module GTP_DUAL_SWIFT_BIT# -- Compiling module GT11_SWIFT_BIT# # Top level modules:# 	glbl# 	boardx04# vsim +notimingchecks +TESTNAME=BMD_Rd_n_Wr_DMA -L work -pli libpli.so novas_vlog work.boardx04 glbl # ** Note: (vsim-3812) Design is being optimized...# ** Note: (vsim-3865) Due to PLI being present, full design access is being specified.# Loading /program/modeltech/linux/libswiftpli.sl# Loading ./libpli.so# Loading work.novas_vlog(fast)# Loading work.boardx04(fast)# ** Warning: (vsim-3010) [TSCALE] - Module 'boardx04' has a `timescale directive in effect, but previous modules do not.#         Region: /boardx04# Loading work.xilinx_pci_exp_4_lane_ep(fast)# Loading work.IBUFDS(fast)# Loading work.IBUF(fast)# Loading work.pci_exp_64b_app(fast)# Loading work.BMD(fast)# Loading work.BMD_EP(fast)# Loading work.BMD_EP_MEM_ACCESS(fast)# Loading work.BMD_EP_MEM(fast)# Loading work.BMD_64_RX_ENGINE(fast)# Loading work.BMD_64_TX_ENGINE(fast)# Loading work.BMD_INTR_CTRL(fast)# Loading work.BMD_TO_CTRL(fast)# Loading work.endpoint_blk_plus_v1_5(fast)# Loading work.VCC(fast)# Loading work.GND(fast)# Loading work.INV(fast)# Loading work.LUT5(fast)# Loading work.LUT3(fast)# Loading work.LUT4(fast)# Loading work.FDR(fast)# Loading work.LUT2(fast)# Loading work.LUT6(fast)# Loading work.LUT2(fast__1)# Loading work.LUT2(fast__2)# Loading work.LUT2(fast__3)# Loading work.LUT2(fast__4)# Loading work.FDC(fast)# Loading work.FDCE(fast)# Loading work.FDP(fast)# Loading work.BUFG(fast)# Loading work.PLL_ADV(fast)# Loading work.PCIE_INTERNAL_1_1(fast)# Loading work.PCIE_INTERNAL_1_1_SWIFT(fast)# Loading work.PCIE_INTERNAL_1_1_SWIFT_BIT(fast)# Loading work.RAMB36_EXP(fast)# Loading work.ARAMB36_INTERNAL(fast)# Loading work.RAMB36SDP_EXP(fast)# Loading work.ARAMB36_INTERNAL(fast__1)# Loading work.FDRE(fast)# Loading work.GTP_DUAL(fast)# Loading work.GTP_DUAL_SWIFT(fast)# Loading work.GTP_DUAL_SWIFT_BIT(fast)# Loading work.GTP_DUAL(fast__1)# Loading work.LDP_1(fast)# Loading work.FD(fast)# Loading work.FD(fast__1)# Loading work.FDE(fast)# Loading work.SRLC16E(fast)# Loading work.MUXF7(fast)# Loading work.RAMB36SDP_EXP(fast__1)# Loading work.ARAMB36_INTERNAL(fast__2)# Loading work.LUT2(fast__5)# Loading work.LUT2(fast__6)# Loading work.FDRS(fast)# Loading work.LUT1(fast)# Loading work.FDRSE(fast)# Loading work.FDS(fast)# Loading work.LUT2(fast__7)# Loading work.FDSE(fast)# Loading work.FDRSE(fast__1)# Loading work.FDE(fast__1)# Loading work.MUXCY(fast)# Loading work.XORCY(fast)# Loading work.FDSE(fast__1)# Loading work.RAM32X1D(fast)# Loading work.xilinx_pci_exp_4_lane_downstream_port(fast)# Loading work.xilinx_pci_exp_4_lane_dsport(fast)# Loading work.GT11CLK_MGT(fast)# Loading work.OBUF(fast)# Loading work.pci_exp_4_lane_64b_dsport(fast)# Loading work.LUT1(fast__1)# Loading work.LUT2(fast__8)# Loading work.LUT4_L(fast)# Loading work.LUT1_L(fast)# Loading work.LUT2_L(fast)# Loading work.LUT2_L(fast__1)# Loading work.LUT2_L(fast__2)# Loading work.LUT3_L(fast)# Loading work.LUT2(fast__9)# Loading work.MUXF5(fast)# Loading work.LUT2_L(fast__3)# Loading work.LUT2_L(fast__4)# Loading work.LUT2_L(fast__5)# Loading work.FDPE(fast)# Loading work.BUF(fast)# Loading work.DCM_ADV(fast)# Loading work.dcm_adv_clock_divide_by_2(fast)# Loading work.dcm_adv_maximum_period_check(fast)# Loading work.dcm_adv_maximum_period_check(fast__1)# Loading work.dcm_adv_clock_lost(fast)# Loading work.BUFGMUX_VIRTEX4(fast)# Loading work.BUFGCTRL(fast)# Loading work.MUXCY_L(fast)# Loading work.LUT2_L(fast__6)# Loading work.SRLC16(fast)# Loading work.SRL16(fast)# Loading work.LUT1_L(fast__1)# Loading work.GT11(fast)# Loading work.GT11_SWIFT(fast)# Loading work.GT11_SWIFT_BIT(fast)# Loading work.GT11(fast__1)# Loading work.GT11(fast__2)# Loading work.LUT2_L(fast__7)# Loading work.RAM16X1D(fast)# Loading work.LUT2_L(fast__8)# Loading work.LUT2_L(fast__9)# Loading work.SRL16E(fast)# Loading work.RAMB16_S18_S18(fast)# Loading work.MULT_AND(fast)# Loading work.MUXF6(fast)# Loading work.dsport_cfg(fast)# Loading work.pci_exp_usrapp_rx(fast)# Loading work.pci_exp_usrapp_tx(fast)# Loading work.pci_exp_usrapp_cfg(fast)# Loading work.pci_exp_usrapp_com(fast)# Loading work.sys_clk_gen_ds(fast)# Loading work.sys_clk_gen(fast)# Loading work.sys_clk_gen_ds(fast__1)# Loading work.sys_clk_gen(fast__1)# Loading work.glbl(fast)# ** Warning: (vsim-3015) /program/ise92/verilog/src/unisims/GTP_DUAL.v(3471): [PCDPC] - Port size (9 or 9) does not match connection size (12) for port 'SIM_PLL_PERDIV2'.#         Region: /boardx04/xilinx_pci_exp_4_lane_ep/ep/\BU2/U0/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[2].GT_i\/gtp_dual_swift_1# ** Warning: (vsim-3015) /program/ise92/verilog/src/unisims/GTP_DUAL.v(3471): [PCDPC] - Port size (9 or 9) does not match connection size (12) for port 'SIM_PLL_PERDIV2'.#         Region: /boardx04/xilinx_pci_exp_4_lane_ep/ep/\BU2/U0/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[0].GT_i\/gtp_dual_swift_1# ** Warning: (vsim-3015) ../dsport/xilinx_pci_exp_dsport.v(551): [PCDPC] - Port size (5 or 5) does not match connection size (3) for port 'trn_tbuf_av'.#         Region: /boardx04/xilinx_pci_exp_4_lane_downstream_port/xilinx_pci_exp_4_lane_dsport/pci_exp_4_lane_64b_dsport#       Runtime, SwiftPLI v1.13#       Copyright (c) 1984-2007 Synopsys Inc. ALL RIGHTS RESERVED#       Platform Type: linux (32-bit).#       You can use the Browser tool to configure the SmartModel#       Library and access information about SmartModels:#          $LMC_HOME/bin/sl_browser# #       SmartModel product documentation is available here:#          $LMC_HOME/doc/smartmodel/manuals/intro.pdf#          http://www.synopsys.com/products/lm/doc/smartmodel.html# # # Note: Model pcie_internal_1_1_swift: Model Vendor: `Xilinx'.#       SmartModel Instance boardx04.xilinx_pci_exp_4_lane_ep.ep.\BU2/U0/pcie_ep0/pcie_blk/pcie_ep .pcie_internal_1_1_swift_1.I1(PCIE_INTERNAL_1_1_SWIFT:pcie_internal_1_1_swift), at time 0.0 ns# # Note: Model gtp_dual_swift: Model Vendor: `Xilinx'.#       SmartModel Instance boardx04.xilinx_pci_exp_4_lane_ep.ep.\BU2/U0/pcie_ep0/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[2].GT_i .gtp_dual_swift_1.I1(GTP_DUAL_SWIFT:gtp_dual_swift), at time 0.0 ns# # Note: Model gt11_swift: Model Vendor: `Xilinx'.#       SmartModel Instance boardx04.xilinx_pci_exp_4_lane_downstream_port.xilinx_pci_exp_4_lane_dsport.pci_exp_4_lane_64b_dsport.plm_v4f_mgt_gt11_by4_GT11_PCIEXP_4_INST.gt11_swift_1.I1(GT11_SWIFT:gt11_swift), at time 0.0 ns# Novas FSDB Dumper for ModelSim57, Release 2006.04v1 (Linux) 04/14/2006# Copyright (C) 1996 - 2006 by Novas Software, Inc.# *Novas* Create FSDB file 'bmd.fsdb'# MTI_RESOLUTION_REPORT -12 # Running test {BMD_Rd_n_Wr_DMA}......# ** Warning: $fsdbDumpfile - One FSDB file has already opened for dumping.#     : ../board.v(123)#    Time: 0 ps  Iteration: 0  Instance: /boardx04# *Novas* Start dumping the top scope(novas_vlog), layer(0).# *Novas* Start dumping the top scope(boardx04), layer(0).# *Novas* Start dumping the top scope(glbl), layer(0).# *Novas* End of dumping.# [                   0] : System Reset Asserted...# [             4995000] : System Reset De-asserted...# [             8522100] : Transaction Reset Is De-asserted...# [            80250100] : Transaction Link Is Up...# [            80250100] : Inspecting Core Configuration Space...# [            80274000] : TSK_PARSE_FRAME on Transmit# [            81098000] : TSK_PARSE_FRAME on Transmit# [            81874000] : TSK_PARSE_FRAME on Receive# [            82546000] : TSK_PARSE_FRAME on Receive# [            82722000] : TSK_PARSE_FRAME on Transmit# [            83546000] : TSK_PARSE_FRAME on Transmit# [            84170000] : TSK_PARSE_FRAME on Receive# [            85002000] : TSK_PARSE_FRAME on Receive# [            85170000] : TSK_PARSE_FRAME on Transmit# [            85994000] : TSK_PARSE_FRAME on Transmit# [            86618000] : TSK_PARSE_FRAME on Receive# [            87442000] : TSK_PARSE_FRAME on Receive# [            87618000] : TSK_PARSE_FRAME on Transmit# [            88442000] : TSK_PARSE_FRAME on Transmit# [            89066000] : TSK_PARSE_FRAME on Receive# [            89890000] : TSK_PARSE_FRAME on Receive# [            90066000] : TSK_PARSE_FRAME on Transmit# [            90890000] : TSK_PARSE_FRAME on Transmit# [            91522000] : TSK_PARSE_FRAME on Receive# [            92338000] : TSK_PARSE_FRAME on Receive# [            92514000] : TSK_PARSE_FRAME on Transmit# [            93338000] : TSK_PARSE_FRAME on Transmit# [            93962000] : TSK_PARSE_FRAME on Receive# [            94794000] : TSK_PARSE_FRAME on Receive# [            94962000] : TSK_PARSE_FRAME on Transmit# [            95786000] : TSK_PARSE_FRAME on Transmit# [            96410000] : TSK_PARSE_FRAME on Receive# [            97234000] : TSK_PARSE_FRAME on Receive# [            97386000] PCI EXPRESS BAR MEMORY/IO MAPPING PROCESS BEGUN...# 	BAR 0: VALUE = 10000000 RANGE = fff00000 TYPE =  MEM32 MAPPED# 	BAR 1: VALUE = 00000000 RANGE = 00000000 TYPE =      DISABLED# 	BAR 2: VALUE = 00000000 RANGE = 00000000 TYPE =      DISABLED# 	BAR 3: VALUE = 00000000 RANGE = 00000000 TYPE =      DISABLED# 	BAR 4: VALUE = 00000000 RANGE = 00000000 TYPE =      DISABLED# 	BAR 5: VALUE = 00000000 RANGE = 00000000 TYPE =      DISABLED# 	EROM : VALUE = 10100001 RANGE = fff00001 TYPE =  MEM32 MAPPED# [            97386000] : Setting Core Configuration Space...

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -