⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 cf_fft_1024_16.vhd

📁 fft高速变化原代码,实用于高速多通道信号采集装置
💻 VHD
📖 第 1 页 / 共 5 页
字号:
o1 <= s8_1;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_16_29 isport (clock_c : in std_logic;i1 : in  unsigned(63 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(7 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);i6 : in  unsigned(0 downto 0);o1 : out unsigned(63 downto 0));end entity cf_fft_1024_16_29;architecture rtl of cf_fft_1024_16_29 issignal n1 : unsigned(7 downto 0);signal n2 : unsigned(7 downto 0);signal n3 : unsigned(7 downto 0) := "00000000";signal n4 : unsigned(0 downto 0);signal n5 : unsigned(0 downto 0);signal n6 : unsigned(63 downto 0);signal n6a : unsigned(7 downto 0) := "00000000";type   n6mt is array (255 downto 0) of unsigned(63 downto 0);signal n6m : n6mt;signal n7 : unsigned(0 downto 0);signal n8 : unsigned(63 downto 0);signal n8a : unsigned(7 downto 0) := "00000000";type   n8mt is array (255 downto 0) of unsigned(63 downto 0);signal n8m : n8mt;signal n9 : unsigned(0 downto 0) := "0";signal n10 : unsigned(63 downto 0);signal n11 : unsigned(0 downto 0);signal s12_1 : unsigned(0 downto 0);component cf_fft_1024_16_30 isport (clock_c : in std_logic;i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0));end component cf_fft_1024_16_30;beginn1 <= "00000001";n2 <= n3 + n1;process (clock_c) begin  if rising_edge(clock_c) then    if n11 = "1" then      n3 <= "00000000";    elsif i5 = "1" then      n3 <= n2;    end if;  end if;end process;n4 <= not s12_1;n5 <= i4 and n4;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      if n5 = "1" then        n6m(to_integer(i3)) <= i1;      end if;      n6a <= n3;    end if;  end if;end process;n6 <= n6m(to_integer(n6a));n7 <= i4 and s12_1;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      if n7 = "1" then        n8m(to_integer(i3)) <= i1;      end if;      n8a <= n3;    end if;  end if;end process;n8 <= n8m(to_integer(n8a));process (clock_c) begin  if rising_edge(clock_c) then    if i6 = "1" then      n9 <= "0";    elsif i5 = "1" then      n9 <= n4;    end if;  end if;end process;n10 <= n8 when n9 = "1" else n6;n11 <= i2 or i6;s12 : cf_fft_1024_16_30 port map (clock_c, i2, i5, i6, s12_1);o1 <= n10;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_16_28 isport (clock_c : in std_logic;i1 : in  unsigned(63 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(7 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);i6 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0);o2 : out unsigned(0 downto 0);o3 : out unsigned(63 downto 0));end entity cf_fft_1024_16_28;architecture rtl of cf_fft_1024_16_28 issignal n1 : unsigned(7 downto 0);signal n2 : unsigned(7 downto 0);signal n3 : unsigned(7 downto 0) := "00000000";signal n4 : unsigned(0 downto 0);signal n5 : unsigned(0 downto 0) := "0";signal n6 : unsigned(7 downto 0);signal n7 : unsigned(0 downto 0);signal n8 : unsigned(0 downto 0);signal n9 : unsigned(63 downto 0);signal n9a : unsigned(7 downto 0) := "00000000";type   n9mt is array (255 downto 0) of unsigned(63 downto 0);signal n9m : n9mt;signal n10 : unsigned(0 downto 0);signal n11 : unsigned(63 downto 0);signal n11a : unsigned(7 downto 0) := "00000000";type   n11mt is array (255 downto 0) of unsigned(63 downto 0);signal n11m : n11mt;signal n12 : unsigned(0 downto 0) := "0";signal n13 : unsigned(63 downto 0);signal n14 : unsigned(0 downto 0);signal s15_1 : unsigned(0 downto 0);component cf_fft_1024_16_30 isport (clock_c : in std_logic;i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0));end component cf_fft_1024_16_30;beginn1 <= "00000001";n2 <= n3 + n1;process (clock_c) begin  if rising_edge(clock_c) then    if n14 = "1" then      n3 <= "00000000";    elsif i5 = "1" then      n3 <= n2;    end if;  end if;end process;n4 <= not s15_1;process (clock_c) begin  if rising_edge(clock_c) then    if i6 = "1" then      n5 <= "0";    elsif i5 = "1" then      n5 <= i2;    end if;  end if;end process;n6 <= "00000000";n7 <= "1" when n3 = n6 else "0";n8 <= i4 and n4;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      if n8 = "1" then        n9m(to_integer(i3)) <= i1;      end if;      n9a <= n3;    end if;  end if;end process;n9 <= n9m(to_integer(n9a));n10 <= i4 and s15_1;process (clock_c) begin  if rising_edge(clock_c) then    if i5 = "1" then      if n10 = "1" then        n11m(to_integer(i3)) <= i1;      end if;      n11a <= n3;    end if;  end if;end process;n11 <= n11m(to_integer(n11a));process (clock_c) begin  if rising_edge(clock_c) then    if i6 = "1" then      n12 <= "0";    elsif i5 = "1" then      n12 <= n4;    end if;  end if;end process;n13 <= n11 when n12 = "1" else n9;n14 <= i2 or i6;s15 : cf_fft_1024_16_30 port map (clock_c, i2, i5, i6, s15_1);o3 <= n13;o2 <= n7;o1 <= n5;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_16_27 isport (i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);i4 : in  unsigned(2 downto 0);o1 : out unsigned(0 downto 0));end entity cf_fft_1024_16_27;architecture rtl of cf_fft_1024_16_27 issignal n1 : unsigned(2 downto 0);signal n2 : unsigned(2 downto 0);signal n3 : unsigned(2 downto 0);signal n4 : unsigned(0 downto 0);signal n5 : unsigned(0 downto 0);signal n6 : unsigned(0 downto 0);signal n7 : unsigned(0 downto 0);signal n8 : unsigned(0 downto 0);signal n9 : unsigned(0 downto 0);signal n10 : unsigned(0 downto 0);beginn1 <= "110";n2 <= "001";n3 <= "011";n4 <= "1" when i4 = n1 else "0";n5 <= "1" when i4 = n2 else "0";n6 <= "1" when i4 = n3 else "0";n7 <= i1 when n6 = "1" else n10;n8 <= i2 when n5 = "1" else n7;n9 <= i3 when n4 = "1" else n8;n10 <= "1";o1 <= n9;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_16_26 isport (i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);i6 : in  unsigned(0 downto 0);i7 : in  unsigned(2 downto 0);o1 : out unsigned(0 downto 0));end entity cf_fft_1024_16_26;architecture rtl of cf_fft_1024_16_26 issignal n1 : unsigned(2 downto 0);signal n2 : unsigned(2 downto 0);signal n3 : unsigned(2 downto 0);signal n4 : unsigned(0 downto 0);signal n5 : unsigned(0 downto 0);signal n6 : unsigned(0 downto 0);signal n7 : unsigned(0 downto 0);signal n8 : unsigned(0 downto 0);signal n9 : unsigned(0 downto 0);signal s10_1 : unsigned(0 downto 0);component cf_fft_1024_16_27 isport (i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);i4 : in  unsigned(2 downto 0);o1 : out unsigned(0 downto 0));end component cf_fft_1024_16_27;beginn1 <= "000";n2 <= "010";n3 <= "100";n4 <= "1" when i7 = n1 else "0";n5 <= "1" when i7 = n2 else "0";n6 <= "1" when i7 = n3 else "0";n7 <= i4 when n6 = "1" else s10_1;n8 <= i5 when n5 = "1" else n7;n9 <= i6 when n4 = "1" else n8;s10 : cf_fft_1024_16_27 port map (i1, i2, i3, i7, s10_1);o1 <= n9;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_16_25 isport (clock_c : in std_logic;i1 : in  unsigned(1 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0));end entity cf_fft_1024_16_25;architecture rtl of cf_fft_1024_16_25 issignal n1 : unsigned(0 downto 0);signal n2 : unsigned(0 downto 0);signal n3 : unsigned(0 downto 0);signal n4 : unsigned(0 downto 0);signal n5 : unsigned(0 downto 0);signal n6 : unsigned(0 downto 0);signal n7 : unsigned(0 downto 0);signal n8 : unsigned(0 downto 0);signal n9 : unsigned(0 downto 0);signal n10 : unsigned(0 downto 0);signal n11 : unsigned(0 downto 0);signal n12 : unsigned(0 downto 0);signal n13 : unsigned(2 downto 0);signal n14 : unsigned(0 downto 0) := "0";signal s15_1 : unsigned(0 downto 0);signal s16_1 : unsigned(0 downto 0);component cf_fft_1024_16_26 isport (i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);i4 : in  unsigned(0 downto 0);i5 : in  unsigned(0 downto 0);i6 : in  unsigned(0 downto 0);i7 : in  unsigned(2 downto 0);o1 : out unsigned(0 downto 0));end component cf_fft_1024_16_26;beginn1 <= "0";n2 <= "1";n3 <= "1";n4 <= "1";n5 <= "0";n6 <= "0";n7 <= "0";n8 <= "1";n9 <= "1";n10 <= "1";n11 <= "0";n12 <= "0";n13 <= i1 & n14;process (clock_c) begin  if rising_edge(clock_c) then    if i3 = "1" then      n14 <= "0";    elsif i2 = "1" then      n14 <= s15_1;    end if;  end if;end process;s15 : cf_fft_1024_16_26 port map (n1, n2, n3, n4, n5, n6, n13, s15_1);s16 : cf_fft_1024_16_26 port map (n7, n8, n9, n10, n11, n12, n13, s16_1);o1 <= s16_1;end architecture rtl;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity cf_fft_1024_16_24 isport (clock_c : in std_logic;i1 : in  unsigned(0 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(8 downto 0);o2 : out unsigned(0 downto 0));end entity cf_fft_1024_16_24;architecture rtl of cf_fft_1024_16_24 issignal n1 : unsigned(8 downto 0);signal n2 : unsigned(8 downto 0);signal n3 : unsigned(8 downto 0) := "000000000";signal n4 : unsigned(8 downto 0);signal n5 : unsigned(0 downto 0);signal n6 : unsigned(1 downto 0);signal n7 : unsigned(0 downto 0) := "0";signal n8 : unsigned(0 downto 0);signal n9 : unsigned(0 downto 0);signal n10 : unsigned(0 downto 0);signal s11_1 : unsigned(0 downto 0);component cf_fft_1024_16_25 isport (clock_c : in std_logic;i1 : in  unsigned(1 downto 0);i2 : in  unsigned(0 downto 0);i3 : in  unsigned(0 downto 0);o1 : out unsigned(0 downto 0));end component cf_fft_1024_16_25;beginn1 <= "000000001";n2 <= n3 + n1;process (clock_c) begin  if rising_edge(clock_c) then    if n9 = "1" then      n3 <= "000000000";    elsif n10 = "1" then      n3 <= n2;    end if;  end if;end process;n4 <= "111111111";n5 <= "1" when n3 = n4 else "0";n6 <= i1 & n5;process (clock_c) begin  if rising_edge(clock_c) then    if i3 = "1" then      n7 <= "0";    elsif i2 = "1" then

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -