📄 sdr_tsim.tcl
字号:
cd ../timing
if {![file exists work]} {
vlib work
}
vmap work work
# compile all necessary source and testbench files
#
# Start compiling.............
vlog ../../../../par/xm/sdr_top.vo
vlog +incdir+../../../../source ../../../../testbench/sdr_tb.tf
# End
# Load the top testbench file
vsim -L xp_vlg -sdfmax /UUT=../../../../par/xm/sdr_top.sdf -multisource_delay max work.sdr_tb
add wave -r /*
run -all
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -