⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 forward_table.vhd

📁 VHDL to System C translator
💻 VHD
📖 第 1 页 / 共 2 页
字号:
-------------------------------------------------------------------------------
--                                                                           --
--  AES86 - VHDL 128bits AES IP Core                                         --
--  Copyright (C) 2005-2007 HT-LAB                                           --
--                                                                           --
--  Contact/Feedback : http://www.ht-lab.com/feedback.htm                    --
--  Web: http://www.ht-lab.com                                               --
--                                                                           --
--  AES86 is released as open-source under the GNU GPL license. This means   --
--  that designs based on AES86 must be distributed in full source code      --
--  under the same license. Contact HT-Lab for commercial applications where --
--  source-code distribution is not desirable.                               --
--                                                                           --
-------------------------------------------------------------------------------
--                                                                           --
--  This library is free software; you can redistribute it and/or            --
--  modify it under the terms of the GNU Lesser General Public               --
--  License as published by the Free Software Foundation; either             --
--  version 2.1 of the License, or (at your option) any later version.       --
--                                                                           --
--  This library is distributed in the hope that it will be useful,          --
--  but WITHOUT ANY WARRANTY; without even the implied warranty of           --
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU        --
--  Lesser General Public License for more details.                          --
--                                                                           --
--  Full details of the license can be found in the file "copying.txt".      --
--                                                                           --
--  You should have received a copy of the GNU Lesser General Public         --
--  License along with this library; if not, write to the Free Software      --
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA  --
--                                                                           --
-------------------------------------------------------------------------------
--  Forward Table
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;

entity FT_table is
  port ( addr  : in  std_logic_vector(7 downto 0);
         dout  : out std_logic_vector(31 downto 0));
end FT_table;


architecture rtl of FT_table is

begin

  process (addr)
  begin
    case addr is
       when "00000000" => dout <= X"C66363A5";
       when "00000001" => dout <= X"F87C7C84";
       when "00000010" => dout <= X"EE777799";
       when "00000011" => dout <= X"F67B7B8D";
       when "00000100" => dout <= X"FFF2F20D";
       when "00000101" => dout <= X"D66B6BBD";
       when "00000110" => dout <= X"DE6F6FB1";
       when "00000111" => dout <= X"91C5C554";
       when "00001000" => dout <= X"60303050";
       when "00001001" => dout <= X"02010103";
       when "00001010" => dout <= X"CE6767A9";
       when "00001011" => dout <= X"562B2B7D";
       when "00001100" => dout <= X"E7FEFE19";
       when "00001101" => dout <= X"B5D7D762";
       when "00001110" => dout <= X"4DABABE6";
       when "00001111" => dout <= X"EC76769A";
       when "00010000" => dout <= X"8FCACA45";
       when "00010001" => dout <= X"1F82829D";
       when "00010010" => dout <= X"89C9C940";
       when "00010011" => dout <= X"FA7D7D87";
       when "00010100" => dout <= X"EFFAFA15";
       when "00010101" => dout <= X"B25959EB";
       when "00010110" => dout <= X"8E4747C9";
       when "00010111" => dout <= X"FBF0F00B";
       when "00011000" => dout <= X"41ADADEC";
       when "00011001" => dout <= X"B3D4D467";
       when "00011010" => dout <= X"5FA2A2FD";
       when "00011011" => dout <= X"45AFAFEA";
       when "00011100" => dout <= X"239C9CBF";
       when "00011101" => dout <= X"53A4A4F7";
       when "00011110" => dout <= X"E4727296";
       when "00011111" => dout <= X"9BC0C05B";
       when "00100000" => dout <= X"75B7B7C2";
       when "00100001" => dout <= X"E1FDFD1C";
       when "00100010" => dout <= X"3D9393AE";
       when "00100011" => dout <= X"4C26266A";
       when "00100100" => dout <= X"6C36365A";
       when "00100101" => dout <= X"7E3F3F41";
       when "00100110" => dout <= X"F5F7F702";
       when "00100111" => dout <= X"83CCCC4F";
       when "00101000" => dout <= X"6834345C";
       when "00101001" => dout <= X"51A5A5F4";
       when "00101010" => dout <= X"D1E5E534";
       when "00101011" => dout <= X"F9F1F108";
       when "00101100" => dout <= X"E2717193";
       when "00101101" => dout <= X"ABD8D873";
       when "00101110" => dout <= X"62313153";
       when "00101111" => dout <= X"2A15153F";
       when "00110000" => dout <= X"0804040C";
       when "00110001" => dout <= X"95C7C752";
       when "00110010" => dout <= X"46232365";
       when "00110011" => dout <= X"9DC3C35E";
       when "00110100" => dout <= X"30181828";
       when "00110101" => dout <= X"379696A1";
       when "00110110" => dout <= X"0A05050F";
       when "00110111" => dout <= X"2F9A9AB5";
       when "00111000" => dout <= X"0E070709";
       when "00111001" => dout <= X"24121236";
       when "00111010" => dout <= X"1B80809B";
       when "00111011" => dout <= X"DFE2E23D";
       when "00111100" => dout <= X"CDEBEB26";
       when "00111101" => dout <= X"4E272769";
       when "00111110" => dout <= X"7FB2B2CD";
       when "00111111" => dout <= X"EA75759F";
       when "01000000" => dout <= X"1209091B";
       when "01000001" => dout <= X"1D83839E";
       when "01000010" => dout <= X"582C2C74";
       when "01000011" => dout <= X"341A1A2E";
       when "01000100" => dout <= X"361B1B2D";
       when "01000101" => dout <= X"DC6E6EB2";
       when "01000110" => dout <= X"B45A5AEE";
       when "01000111" => dout <= X"5BA0A0FB";
       when "01001000" => dout <= X"A45252F6";
       when "01001001" => dout <= X"763B3B4D";
       when "01001010" => dout <= X"B7D6D661";
       when "01001011" => dout <= X"7DB3B3CE";
       when "01001100" => dout <= X"5229297B";
       when "01001101" => dout <= X"DDE3E33E";
       when "01001110" => dout <= X"5E2F2F71";
       when "01001111" => dout <= X"13848497";
       when "01010000" => dout <= X"A65353F5";
       when "01010001" => dout <= X"B9D1D168";
       when "01010010" => dout <= X"00000000";
       when "01010011" => dout <= X"C1EDED2C";
       when "01010100" => dout <= X"40202060";
       when "01010101" => dout <= X"E3FCFC1F";
       when "01010110" => dout <= X"79B1B1C8";
       when "01010111" => dout <= X"B65B5BED";
       when "01011000" => dout <= X"D46A6ABE";
       when "01011001" => dout <= X"8DCBCB46";
       when "01011010" => dout <= X"67BEBED9";
       when "01011011" => dout <= X"7239394B";
       when "01011100" => dout <= X"944A4ADE";
       when "01011101" => dout <= X"984C4CD4";
       when "01011110" => dout <= X"B05858E8";
       when "01011111" => dout <= X"85CFCF4A";
       when "01100000" => dout <= X"BBD0D06B";
       when "01100001" => dout <= X"C5EFEF2A";
       when "01100010" => dout <= X"4FAAAAE5";
       when "01100011" => dout <= X"EDFBFB16";
       when "01100100" => dout <= X"864343C5";
       when "01100101" => dout <= X"9A4D4DD7";
       when "01100110" => dout <= X"66333355";
       when "01100111" => dout <= X"11858594";
       when "01101000" => dout <= X"8A4545CF";
       when "01101001" => dout <= X"E9F9F910";

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -