⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 decd.vhd

📁 直流电机的程序
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------
entity decd is 
port ( clk  : in std_logic;
       dspy : out std_logic_vector ( 1 downto 0 );
         d  : out std_logic_vector ( 3 downto 0 ) );
end entity decd;
----------------------------------------------------
architecture behave_decd of decd is
signal cq : std_logic_vector ( 1 downto 0 );
begin
-------------------------------------------------
   process ( cq )
     begin
       case cq is
          when "00" => d <= "0100";
          when "01" => d <= "0111";
          when "10" => d <= "1011";   
          when "11" => d <= "1111";
          when others => null;
        end case;
     end process;
-------------------------------------------------
  process ( clk )
    begin
     if clk'event and clk = '1' then
        cq <= cq +1 ;
     end if;
   end process;
----------------------------------------------------
end behave_decd;
   

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -