⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 alucontrol.vhd

📁 MIPS处理器VHDL代码
💻 VHD
字号:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date:    12:18:53 09/17/2007 -- Design Name: -- Module Name:    alucontrol - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE; 
use IEEE.STD_LOGIC_1164.all;
entity alucontrol is  --ALU 控制译码器
    port(aluop:   in  STD_LOGIC_VECTOR(1 downto 0);
	      funct:   in  STD_LOGIC_VECTOR(5 downto 0);
	      alucont: out STD_LOGIC_VECTOR(2 downto 0));
end;architecture synth of alucontrol is
begin
   process(aluop, funct) 
	begin
	case aluop is 
	    when "00" => alucont <= "010";
	    when "01" => alucont <= "110";
	    when others => case funct is 
				when "100000" => alucont <= "010";
				when "100010" => alucont <= "110";
				when "100100" => alucont <= "000";
				when "100101" => alucont <= "001";
				when "101010" => alucont <= "111";
				when others   => alucont <= "---";
			   end case;
	end case;
   end process;
end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -