📄 clock40.vhd
字号:
--*************************************************************************************--
--Colour Sort Machine dividing clock module V1.0/2003.12.20
--EIST Department,Nankai University
--Function f_clkout =(f_clkin/125)
--Src file:clock125.vhd
--*************************************************************************************--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity clock40 is
port(clkin:in std_logic;
clkout:out std_logic
);
end entity;
architecture arc of clock40 is
begin
process(clkin)
variable count:integer range 0 to 40;
begin
if (clkin'event and clkin='1') then
if count>=39 then
count:=0;
else
count:=count+1;
end if;
case count is
when 0 to 19=>clkout<='0';
when others =>clkout<='1';
end case;
end if;
end process;
end arc;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -