⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 dacc.vhd

📁 一个经过DE2板验证的数字移相信号发生器的HDL原代码!曾经能够获奖的,工程设计的好东西!
💻 VHD
字号:
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity DACC IS
port(clk:in std_logic;
data:in std_logic_vector(9 downto 0);
   cs,wr:out std_logic;
dd:out integer range 1023 downto 0);
end;
architecture bahv of dacc is
signal q:integer range 127 downto 0;
signal d:integer range 1023 downto 0;
signal fss:std_logic;
signal count12:std_logic_vector(16 downto 0);
signal data1:std_logic_vector(9 downto 0);begin
data1<=data;
process(fss)
begin
  if(fss'event and fss='1')then q<=q+1;
end if;
end process;
process(q)
begin
case q is
when 00=>d<=511;when 01=>d<=503;when 02=>d<=495;when 03=>d<=487;
when 04=>d<=479;when 05=>d<=471;when 06=>d<=463;when 07=>d<=455;
when 08=>d<=447;when 09=>d<=439;when 10=>d<=431;when 11=>d<=423;
when 12=>d<=415;when 13=>d<=407;when 14=>d<=399;when 15=>d<=391;
when 16=>d<=383;when 17=>d<=375;when 18=>d<=367;when 19=>d<=359;
when 20=>d<=351;when 21=>d<=343;when 22=>d<=335;when 23=>d<=327;
when 24=>d<=319;when 25=>d<=311;when 26=>d<=303;when 27=>d<=295;
when 28=>d<=287;when 29=>d<=279;when 30=>d<=271;when 31=>d<=263;
when 32=>d<=255;when 33=>d<=247;when 34=>d<=239;when 35=>d<=231;
when 36=>d<=223;when 37=>d<=215;when 38=>d<=207;when 39=>d<=199;
when 40=>d<=191;when 41=>d<=183;when 42=>d<=175;when 43=>d<=167;

when 44=>d<=159;when 45=>d<=151;when 46=>d<=143;when 47=>d<=135;
when 48=>d<=127;when 49=>d<=119;when 50=>d<=111;when 51=>d<=103;
when 52=>d<=95;when 53=>d<=87;when 54=>d<=79;when 55=>d<=71;
when 56=>d<=63;when 57=>d<=55;when 58=>d<=47;when 59=>d<=39;
when 60=>d<=31;when 61=>d<=23;when 62=>d<=15;when 63=>d<=7;
when 64=>d<=0;when 65=>d<=7;when 66=>d<=15;when 67=>d<=23;
when 68=>d<=31;when 69=>d<=39;when 70=>d<=47;when 71=>d<=55;
when 72=>d<=63;when 73=>d<=71;when 74=>d<=79;when 75=>d<=87;
when 76=>d<=95;when 77=>d<=103;when 78=>d<=111;when 79=>d<=119;
when 80=>d<=127;when 81=>d<=135;when 82=>d<=143;when 83=>d<=151;
when 84=>d<=159;when 85=>d<=167;when 86=>d<=175;when 87=>d<=183;
when 88=>d<=191;when 89=>d<=199;when 90=>d<=207;when 91=>d<=215;
when 92=>d<=223;when 93=>d<=231;when 94=>d<=239;when 95=>d<=247;
when 96=>d<=255;when 97=>d<=263;when 98=>d<=271;when 99=>d<=279;
when 100=>d<=287;when 101=>d<=295;when 102=>d<=303;when 103=>d<=311;
when 104=>d<=319;when 105=>d<=327;when 106=>d<=335;when 107=>d<=343;
when 108=>d<=351;when 109=>d<=359;when 110=>d<=367;when 111=>d<=375;
when 112=>d<=383;when 113=>d<=391;when 114=>d<=399;when 115=>d<=407;
when 116=>d<=415;when 117=>d<=423;when 118=>d<=431;when 119=>d<=439;
when 120=>d<=447;when 121=>d<=455;when 122=>d<=463;when 123=>d<=471;
when 124=>d<=479;when 125=>d<=487;when 126=>d<=495;when 127=>d<=503;

when others=>null;
end case;
end process;
dd<=d;
process(clk,data) begin 
if clk'event and clk ='1'then
if count12>="10110111000110110" then count12<="00000000000000000";fss<='1';
else count12<=count12+data1+1;fss<='0';
 end if;
end if;
end process;
cs<='0';wr<='0';
end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -