⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 xilinx_vcomponents.vhd

📁 free hardware ip core about sparcv8,a soc cpu in vhdl
💻 VHD
📖 第 1 页 / 共 2 页
字号:
  port (    CLK0 : out std_ulogic := '0';    CLK180 : out std_ulogic := '0';    CLK270 : out std_ulogic := '0';    CLK2X : out std_ulogic := '0';    CLK2X180 : out std_ulogic := '0';    CLK90 : out std_ulogic := '0';    CLKDV : out std_ulogic := '0';    CLKFX : out std_ulogic := '0';    CLKFX180 : out std_ulogic := '0';    LOCKED : out std_ulogic := '0';    PSDONE : out std_ulogic := '0';    STATUS : out std_logic_vector(7 downto 0) := "00000000";        CLKFB : in std_ulogic := '0';    CLKIN : in std_ulogic := '0';    DSSEN : in std_ulogic := '0';    PSCLK : in std_ulogic := '0';    PSEN : in std_ulogic := '0';    PSINCDEC : in std_ulogic := '0';    RST : in std_ulogic := '0'    );  end component;  component BUFGMUX port (O : out std_logic; I0, I1, S : in std_logic); end component;  component BUFG port (O : out std_logic; I : in std_logic); end component;  component BUFGP port (O : out std_logic; I : in std_logic); end component;  component BUFGDLL port (O : out std_logic; I : in std_logic); end component;  component IBUFG  generic(      CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25");    port (O : out std_logic; I : in std_logic); end component;  component IBUF generic(      CAPACITANCE : string := "DONT_CARE"; IOSTANDARD : string := "LVCMOS25");    port (O : out std_ulogic; I : in std_ulogic); end component;  component IOBUF generic (      CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;      IOSTANDARD  : string := "LVCMOS25"; SLEW : string := "SLOW");    port (O : out std_ulogic; IO : inout std_logic; I, T : in std_ulogic); end component;  component OBUF generic (      CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;      IOSTANDARD  : string := "LVCMOS25"; SLEW : string := "SLOW");    port (O : out std_ulogic; I : in std_ulogic); end component;  component OBUFT generic (      CAPACITANCE : string := "DONT_CARE"; DRIVE : integer := 12;      IOSTANDARD  : string := "LVCMOS25"; SLEW : string := "SLOW");    port (O : out std_ulogic; I, T : in std_ulogic); end component;  component CLKDLL    port (      CLK0    : out std_ulogic;      CLK180  : out std_ulogic;      CLK270  : out std_ulogic;      CLK2X   : out std_ulogic;      CLK90   : out std_ulogic;      CLKDV   : out std_ulogic;      LOCKED  : out std_ulogic;      CLKFB   : in  std_ulogic;      CLKIN   : in  std_ulogic;      RST     : in  std_ulogic);  end component;  component BSCAN_VIRTEX      port (CAPTURE : out STD_ULOGIC;            DRCK1 : out STD_ULOGIC;            DRCK2 : out STD_ULOGIC;            RESET : out STD_ULOGIC;            SEL1 : out STD_ULOGIC;            SEL2 : out STD_ULOGIC;            SHIFT : out STD_ULOGIC;            TDI : out STD_ULOGIC;            UPDATE : out STD_ULOGIC;            TDO1 : in STD_ULOGIC;            TDO2 : in STD_ULOGIC);  end component;  component BSCAN_VIRTEX2      port (CAPTURE : out STD_ULOGIC;            DRCK1 : out STD_ULOGIC;            DRCK2 : out STD_ULOGIC;            RESET : out STD_ULOGIC;            SEL1 : out STD_ULOGIC;            SEL2 : out STD_ULOGIC;            SHIFT : out STD_ULOGIC;            TDI : out STD_ULOGIC;            UPDATE : out STD_ULOGIC;            TDO1 : in STD_ULOGIC;            TDO2 : in STD_ULOGIC);  end component;  component BSCAN_SPARTAN3     port (CAPTURE : out STD_ULOGIC;           DRCK1 : out STD_ULOGIC;           DRCK2 : out STD_ULOGIC;           RESET : out STD_ULOGIC;           SEL1 : out STD_ULOGIC;           SEL2 : out STD_ULOGIC;           SHIFT : out STD_ULOGIC;           TDI : out STD_ULOGIC;           UPDATE : out STD_ULOGIC;           TDO1 : in STD_ULOGIC;           TDO2 : in STD_ULOGIC);  end component;    component BSCAN_VIRTEX4 generic ( JTAG_CHAIN : integer := 1);     port ( CAPTURE : out std_ulogic;	    DRCK : out std_ulogic;	    RESET : out std_ulogic;	    SEL : out std_ulogic;	    SHIFT : out std_ulogic;	    TDI : out std_ulogic;	    UPDATE : out std_ulogic;	    TDO : in std_ulogic);  end component;  component BSCAN_VIRTEX5 generic ( JTAG_CHAIN : integer := 1);     port ( CAPTURE : out std_ulogic;	    DRCK : out std_ulogic;	    RESET : out std_ulogic;	    SEL : out std_ulogic;	    SHIFT : out std_ulogic;	    TDI : out std_ulogic;	    UPDATE : out std_ulogic;	    TDO : in std_ulogic);  end component;  component IBUFDS	generic (	  CAPACITANCE : string := "DONT_CARE";	  DIFF_TERM : boolean := FALSE;	  IBUF_DELAY_VALUE : string := "0";	  IFD_DELAY_VALUE : string := "AUTO";	  IOSTANDARD : string := "DEFAULT");	port (	  O : out std_ulogic;	  I : in std_ulogic;	  IB : in std_ulogic	);  end component;  component IBUFDS_LVDS_25     port ( O : out std_ulogic;	    I : in std_ulogic;	    IB : in std_ulogic);  end component;  component IBUFGDS_LVDS_25     port ( O : out std_ulogic;	    I : in std_ulogic;	    IB : in std_ulogic);  end component;  component OBUFDS_LVDS_25     port ( O : out std_ulogic;	    OB : out std_ulogic;	    I : in std_ulogic);  end component;  component OBUFTDS_LVDS_25     port ( O : out std_ulogic;	    OB : out std_ulogic;	    I : in std_ulogic;	    T : in std_ulogic);  end component;  component IBUFGDS is    generic( CAPACITANCE : string  := "DONT_CARE";      DIFF_TERM   : boolean :=  FALSE; IBUF_DELAY_VALUE : string := "0";      IOSTANDARD  : string  := "DEFAULT");    port (O : out std_logic; I, IB : in std_logic);   end component;  component IBUFDS_LVDS_33     port ( O : out std_ulogic;	    I : in std_ulogic;	    IB : in std_ulogic);  end component;  component IBUFGDS_LVDS_33     port ( O : out std_ulogic;	    I : in std_ulogic;	    IB : in std_ulogic);  end component;  component OBUFDS_LVDS_33     port ( O : out std_ulogic;	    OB : out std_ulogic;	    I : in std_ulogic);  end component;  component OBUFTDS_LVDS_33     port ( O : out std_ulogic;	    OB : out std_ulogic;	    I : in std_ulogic;	    T : in std_ulogic);  end component;  component FDCPE	generic ( INIT : bit := '0');	port (		Q : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		CLR : in std_ulogic;		D : in std_ulogic;		PRE : in std_ulogic);  end component;  component IDDR	generic (		DDR_CLK_EDGE : string := "OPPOSITE_EDGE";		INIT_Q1 : bit := '0';		INIT_Q2 : bit := '0';		SRTYPE : string := "SYNC");	port	(		Q1 : out std_ulogic;		Q2 : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component ODDR	generic (		DDR_CLK_EDGE : string := "OPPOSITE_EDGE";		INIT : bit := '0';		SRTYPE : string := "SYNC");	port (		Q : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		D1 : in std_ulogic;		D2 : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component IFDDRRSE	port (		Q0 : out std_ulogic;		Q1 : out std_ulogic;		C0 : in std_ulogic;		C1 : in std_ulogic;		CE : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component OFDDRRSE	port (		Q : out std_ulogic;		C0 : in std_ulogic;		C1 : in std_ulogic;		CE : in std_ulogic;		D0 : in std_ulogic;		D1 : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component FDDRRSE	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C0 : in std_ulogic;		C1 : in std_ulogic;		CE : in std_ulogic;		D0 : in std_ulogic;		D1 : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component FDRSE	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component FDR	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic);  end component;  component FDRE	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic);  end component;  component FD	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C : in std_ulogic;		D : in std_ulogic);  end component;  component FDRS	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic);  end component;  component FDE	generic ( INIT : bit := '0');	port (  Q : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		D : in std_ulogic);  end component;  component MUXF5	port (  O : out std_ulogic;		I0 : in std_ulogic;		I1 : in std_ulogic;		S : in std_ulogic);  end component;  component VCC	port ( P : out std_ulogic := '1');  end component;  component GND	port ( G : out std_ulogic := '0');  end component;  component IDELAY	generic ( IOBDELAY_TYPE : string := "DEFAULT";		  IOBDELAY_VALUE : integer := 0);	port (  O : out std_ulogic;		C : in std_ulogic;		CE : in std_ulogic;		I : in std_ulogic;		INC : in std_ulogic;		RST : in std_ulogic);  end component;  component IDELAYCTRL	port (  RDY : out std_ulogic;		REFCLK : in std_ulogic;		RST : in std_ulogic);  end component;  component BUFIO	port (  O : out std_ulogic;		I : in std_ulogic);  end component;  component BUFR	generic ( BUFR_DIVIDE : string := "BYPASS";		 SIM_DEVICE : string := "VIRTEX4");	port (  O : out std_ulogic;		CE : in std_ulogic;		CLR : in std_ulogic;		I : in std_ulogic);  end component;component ODDR2	generic	(		DDR_ALIGNMENT : string := "NONE";		INIT : bit := '0';		SRTYPE : string := "SYNC"	);	port	(		Q : out std_ulogic;		C0 : in std_ulogic;		C1 : in std_ulogic;		CE : in std_ulogic;		D0 : in std_ulogic;		D1 : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic	);end component;component IDDR2	generic	(		DDR_ALIGNMENT : string := "NONE";		INIT_Q0 : bit := '0';		INIT_Q1 : bit := '0';		SRTYPE : string := "SYNC"	);	port	(		Q0 : out std_ulogic;		Q1 : out std_ulogic;		C0 : in std_ulogic;		C1 : in std_ulogic;		CE : in std_ulogic;		D : in std_ulogic;		R : in std_ulogic;		S : in std_ulogic	);end component;end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -