📄 stratixii_components.vhd
字号:
dqsupdate : OUT std_logic; upndnout : OUT std_logic; devclrn : IN std_logic := '1'; devpor : IN std_logic := '1' );END COMPONENT;------ STRATIXII_RUBLOCK----component stratixii_rublock generic ( operation_mode : string := "remote"; sim_init_config : string := "factory"; sim_init_watchdog_value : integer := 0; sim_init_page_select : integer := 0; sim_init_status : integer := 0; lpm_type : string := "stratixii_rublock" ); port ( clk : in std_logic; shiftnld : in std_logic; captnupdt : in std_logic; regin : in std_logic; rsttimer : in std_logic; rconfig : in std_logic; regout : out std_logic; pgmout : out std_logic_vector(2 downto 0) );end component;---- STRATIXII_TERMINATION_COMPONENT--COMPONENT stratixii_termination GENERIC ( runtime_control : string := "false"; use_core_control : string := "false"; pullup_control_to_core : string := "true"; use_high_voltage_compare : string := "true"; use_both_compares : string := "false"; pullup_adder : integer := 0; pulldown_adder : integer := 0; half_rate_clock : string := "false"; power_down : string := "true"; left_shift : string := "false"; test_mode : string := "false"; lpm_type : string := "stratixii_termination"; tipd_rup : VitalDelayType01 := DefpropDelay01; tipd_rdn : VitalDelayType01 := DefpropDelay01; tipd_terminationclock : VitalDelayType01 := DefpropDelay01; tipd_terminationclear : VitalDelayType01 := DefpropDelay01; tipd_terminationenable : VitalDelayType01 := DefpropDelay01; tipd_terminationpullup : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01); tipd_terminationpulldown : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01); TimingChecksOn : Boolean := True; MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; MsgOnChecks : Boolean := DefMsgOnChecks; XOnChecks : Boolean := DefXOnChecks; InstancePath : String := "*"; tpd_terminationclock_terminationcontrol_posedge : VitalDelayArrayType01(13 downto 0) := (OTHERS => DefPropDelay01); tpd_terminationclock_terminationcontrolprobe_posedge : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01) ); PORT ( rup : IN std_logic := '0'; rdn : IN std_logic := '0'; terminationclock : IN std_logic := '0'; terminationclear : IN std_logic := '0'; terminationenable : IN std_logic := '1'; terminationpullup : IN std_logic_vector(6 DOWNTO 0) := "0000000"; terminationpulldown : IN std_logic_vector(6 DOWNTO 0) := "0000000"; devclrn : IN std_logic := '1'; devpor : IN std_logic := '0'; incrup : OUT std_logic; incrdn : OUT std_logic; terminationcontrol : OUT std_logic_vector(13 DOWNTO 0); terminationcontrolprobe : OUT std_logic_vector(6 DOWNTO 0) );END COMPONENT;---- STRATIXII_ROUTING_WIRE--component stratixii_routing_wire generic ( MsgOn : Boolean := DefGlitchMsgOn; XOn : Boolean := DefGlitchXOn; tpd_datain_dataout : VitalDelayType01 := DefPropDelay01; tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01; tipd_datain : VitalDelayType01 := DefPropDelay01 ); PORT ( datain : in std_logic; dataout : out std_logic );end component;---- STRATIXII_JTAG--component stratixii_jtag generic ( lpm_type : string := "stratixii_jtag" ); port ( tms : in std_logic := '0'; tck : in std_logic := '0'; tdi : in std_logic := '0'; ntrst : in std_logic := '0'; tdoutap : in std_logic := '0'; tdouser : in std_logic := '0'; tdo: out std_logic; tmsutap: out std_logic; tckutap: out std_logic; tdiutap: out std_logic; shiftuser: out std_logic; clkdruser: out std_logic; updateuser: out std_logic; runidleuser: out std_logic; usr1user: out std_logic );end component;------ STRATIXII_CRCBLOCK ----component stratixii_crcblock generic ( oscillator_divider : integer := 1; lpm_type : string := "stratixii_crcblock" ); port ( clk : in std_logic := '0'; shiftnld : in std_logic := '0'; ldsrc : in std_logic := '0'; crcerror : out std_logic; regout : out std_logic ); end component;---- STRATIXII_ASMIBLOCK--component stratixii_asmiblock generic ( lpm_type : string := "stratixii_asmiblock" ); port ( dclkin : in std_logic; scein : in std_logic; sdoin : in std_logic; oe : in std_logic; data0out: out std_logic );end component;---- STRATIXII_RAM_BLOCK--component stratixii_ram_block generic ( operation_mode : string := "single_port"; mixed_port_feed_through_mode : string := "dont_care"; ram_block_type : string := "auto"; logical_ram_name : string := "ram_name"; init_file : string := "init_file.hex"; init_file_layout : string := "none"; data_interleave_width_in_bits : integer := 1; data_interleave_offset_in_bits : integer := 1; port_a_logical_ram_depth : integer := 0; port_a_logical_ram_width : integer := 0; port_a_data_in_clear : string := "none"; port_a_address_clear : string := "none"; port_a_write_enable_clear : string := "none"; port_a_data_out_clock : string := "none"; port_a_data_out_clear : string := "none"; port_a_first_address : integer := 0; port_a_last_address : integer := 0; port_a_first_bit_number : integer := 0; port_a_data_width : integer := 1; port_a_byte_enable_clear : string := "none"; port_a_data_in_clock : string := "clock0"; port_a_address_clock : string := "clock0"; port_a_write_enable_clock : string := "clock0"; port_a_byte_enable_clock : string := "clock0"; port_b_logical_ram_depth : integer := 0; port_b_logical_ram_width : integer := 0; port_b_data_in_clock : string := "none"; port_b_data_in_clear : string := "none"; port_b_address_clock : string := "none"; port_b_address_clear : string := "none"; port_b_read_enable_write_enable_clock : string := "none"; port_b_read_enable_write_enable_clear : string := "none"; port_b_data_out_clock : string := "none"; port_b_data_out_clear : string := "none"; port_b_first_address : integer := 0; port_b_last_address : integer := 0; port_b_first_bit_number : integer := 0; port_b_data_width : integer := 1; port_b_byte_enable_clear : string := "none"; port_b_byte_enable_clock : string := "none"; port_a_address_width : integer := 1; port_b_address_width : integer := 1; port_a_byte_enable_mask_width : integer := 1; port_b_byte_enable_mask_width : integer := 1; power_up_uninitialized : string := "false"; port_a_byte_size : integer := 0; port_a_disable_ce_on_input_registers : string := "off"; port_a_disable_ce_on_output_registers : string := "off"; port_b_byte_size : integer := 0; port_b_disable_ce_on_input_registers : string := "off"; port_b_disable_ce_on_output_registers : string := "off"; lpm_type : string := "stratixii_ram_block"; lpm_hint : string := "true"; connectivity_checking : string := "off"; mem_init0 : bit_vector := X"0"; mem_init1 : bit_vector := X"0" ); port ( portawe : in std_logic := '0'; portabyteenamasks : in std_logic_vector (port_a_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbbyteenamasks : in std_logic_vector (port_b_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1'); portbrewe : in std_logic := '0'; clr0 : in std_logic := '0'; clr1 : in std_logic := '0'; clk0 : in std_logic := '0'; clk1 : in std_logic := '0'; ena0 : in std_logic := '1'; ena1 : in std_logic := '1'; portadatain : in std_logic_vector (port_a_data_width - 1 DOWNTO 0) := (others => '0'); portbdatain : in std_logic_vector (port_b_data_width - 1 DOWNTO 0) := (others => '0'); portaaddr : in std_logic_vector (port_a_address_width - 1 DOWNTO 0) := (others => '0'); portbaddr : in std_logic_vector (port_b_address_width - 1 DOWNTO 0) := (others => '0'); portaaddrstall : in std_logic := '0'; portbaddrstall : in std_logic := '0'; devclrn : in std_logic := '1'; devpor : in std_logic := '1'; portadataout : out std_logic_vector (port_a_data_width - 1 DOWNTO 0); portbdataout : out std_logic_vector (port_b_data_width - 1 DOWNTO 0) );end component;end stratixii_components;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -