⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 altera_mf_components.vhd

📁 free hardware ip core about sparcv8,a soc cpu in vhdl
💻 VHD
📖 第 1 页 / 共 2 页
字号:
        e1_high                    : natural := 1;        e2_high                    : natural := 1;        e3_high                    : natural := 1;        c0_low                     : natural := 1;        c1_low                     : natural := 1;        c2_low                     : natural := 1;        c3_low                     : natural := 1;        c4_low                     : natural := 1;        c5_low                     : natural := 1;        l0_low                     : natural := 1;        l1_low                     : natural := 1;        g0_low                     : natural := 1;        g1_low                     : natural := 1;        g2_low                     : natural := 1;        g3_low                     : natural := 1;        e0_low                     : natural := 1;        e1_low                     : natural := 1;        e2_low                     : natural := 1;        e3_low                     : natural := 1;        c0_initial                 : natural := 1;        c1_initial                 : natural := 1;        c2_initial                 : natural := 1;        c3_initial                 : natural := 1;        c4_initial                 : natural := 1;        c5_initial                 : natural := 1;        l0_initial                 : natural := 1;        l1_initial                 : natural := 1;        g0_initial                 : natural := 1;        g1_initial                 : natural := 1;        g2_initial                 : natural := 1;        g3_initial                 : natural := 1;        e0_initial                 : natural := 1;        e1_initial                 : natural := 1;        e2_initial                 : natural := 1;        e3_initial                 : natural := 1;        c0_mode                    : string := "bypass" ;        c1_mode                    : string := "bypass" ;        c2_mode                    : string := "bypass" ;        c3_mode                    : string := "bypass" ;        c4_mode                    : string := "bypass" ;        c5_mode                    : string := "bypass" ;        l0_mode                    : string := "bypass" ;        l1_mode                    : string := "bypass" ;        g0_mode                    : string := "bypass" ;        g1_mode                    : string := "bypass" ;        g2_mode                    : string := "bypass" ;        g3_mode                    : string := "bypass" ;        e0_mode                    : string := "bypass" ;        e1_mode                    : string := "bypass" ;        e2_mode                    : string := "bypass" ;        e3_mode                    : string := "bypass" ;        c0_ph                      : natural := 0;        c1_ph                      : natural := 0;        c2_ph                      : natural := 0;        c3_ph                      : natural := 0;        c4_ph                      : natural := 0;        c5_ph                      : natural := 0;        l0_ph                      : natural := 0;        l1_ph                      : natural := 0;        g0_ph                      : natural := 0;        g1_ph                      : natural := 0;        g2_ph                      : natural := 0;        g3_ph                      : natural := 0;        e0_ph                      : natural := 0;        e1_ph                      : natural := 0;        e2_ph                      : natural := 0;        e3_ph                      : natural := 0;        m_ph                       : natural := 0;        l0_time_delay              : natural := 0;        l1_time_delay              : natural := 0;        g0_time_delay              : natural := 0;        g1_time_delay              : natural := 0;        g2_time_delay              : natural := 0;        g3_time_delay              : natural := 0;        e0_time_delay              : natural := 0;        e1_time_delay              : natural := 0;        e2_time_delay              : natural := 0;        e3_time_delay              : natural := 0;        m_time_delay               : natural := 0;        n_time_delay               : natural := 0;        c1_use_casc_in             : string := "off";        c2_use_casc_in             : string := "off";        c3_use_casc_in             : string := "off";        c4_use_casc_in             : string := "off";        c5_use_casc_in             : string := "off";        extclk3_counter            : string := "e3" ;        extclk2_counter            : string := "e2" ;        extclk1_counter            : string := "e1" ;        extclk0_counter            : string := "e0" ;        clk5_counter               : string := "l1" ;        clk4_counter               : string := "l0" ;        clk3_counter               : string := "g3" ;        clk2_counter               : string := "g2" ;        clk1_counter               : string := "g1" ;        clk0_counter               : string := "g0" ;        enable0_counter            : string := "l0";        enable1_counter            : string := "l0";        charge_pump_current        : natural := 2;        loop_filter_r              : string := "1.0";        loop_filter_c              : natural := 5;        vco_post_scale             : natural := 0;        lpm_type                   : string := "altpll");port (        inclk       : in std_logic_vector(1 downto 0) := (others => '0');        fbin        : in std_logic := '1';        pllena      : in std_logic := '1';        clkswitch   : in std_logic := '0';        areset      : in std_logic := '0';        pfdena      : in std_logic := '1';        clkena      : in std_logic_vector(5 downto 0) := (others => '1');        extclkena   : in std_logic_vector(3 downto 0) := (others => '1');        scanclk     : in std_logic := '0';        scanaclr    : in std_logic := '0';        scanread    : in std_logic := '0';        scanwrite   : in std_logic := '0';        scandata    : in std_logic := '0';        clk         : out std_logic_vector(5 downto 0);        extclk      : out std_logic_vector(3 downto 0);        clkbad      : out std_logic_vector(1 downto 0);        enable0     : out std_logic;        enable1     : out std_logic;        activeclock : out std_logic;        clkloss     : out std_logic;        locked      : out std_logic;        scandataout : out std_logic;        scandone    : out std_logic;        sclkout0     : out std_logic;        sclkout1     : out std_logic);end component;component altddio_in    generic (        width                  : positive; -- required parameter        invert_input_clocks    : string := "OFF";        intended_device_family : string := "MERCURY";        power_up_high          : string := "OFF";        lpm_hint               : string := "UNUSED";        lpm_type               : string := "altddio_in" );    port (        datain    : in std_logic_vector(width-1 downto 0);        inclock   : in std_logic;        inclocken : in std_logic := '1';        aset      : in std_logic := '0';        aclr      : in std_logic := '0';        dataout_h : out std_logic_vector(width-1 downto 0);        dataout_l : out std_logic_vector(width-1 downto 0) );end component;component altddio_out    generic (        width                  : positive;  -- required parameter        power_up_high          : string := "OFF";        oe_reg                 : string := "UNUSED";        extend_oe_disable      : string := "UNUSED";        invert_output          : string := "OFF";        intended_device_family : string := "MERCURY";        lpm_hint               : string := "UNUSED";        lpm_type               : string := "altddio_out" );    port (        datain_h   : in std_logic_vector(width-1 downto 0);        datain_l   : in std_logic_vector(width-1 downto 0);        outclock   : in std_logic;        outclocken : in std_logic := '1';        aset       : in std_logic := '0';        aclr       : in std_logic := '0';        oe         : in std_logic := '1';        dataout    : out std_logic_vector(width-1 downto 0) );end component;component altddio_bidir    generic(        width                    : positive; -- required parameter        power_up_high            : string := "OFF";        oe_reg                   : string := "UNUSED";        extend_oe_disable        : string := "UNUSED";        implement_input_in_lcell : string := "UNUSED";        invert_output            : string := "OFF";        intended_device_family   : string := "MERCURY";        lpm_hint                 : string := "UNUSED";        lpm_type                 : string := "altddio_bidir" );    port (        datain_h   : in std_logic_vector(width-1 downto 0);        datain_l   : in std_logic_vector(width-1 downto 0);        inclock    : in std_logic := '0';        inclocken  : in std_logic := '1';        outclock   : in std_logic;        outclocken : in std_logic := '1';        aset       : in std_logic := '0';        aclr       : in std_logic := '0';        oe         : in std_logic := '1';        dataout_h  : out std_logic_vector(width-1 downto 0);        dataout_l  : out std_logic_vector(width-1 downto 0);        combout    : out std_logic_vector(width-1 downto 0);        dqsundelayedout : out std_logic_vector(width-1 downto 0);        padio      : inout std_logic_vector(width-1 downto 0) );end component;-- pragma translate_oncomponent alt_dummyport (        inclk       : in std_logic_vector(1 downto 0);        sclkout1     : out std_logic     );end component;end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -