📄 altera_mf.vhd
字号:
-- Copyright (C) 1988-2004 Altera Corporation-- Any megafunction design, and related netlist (encrypted or decrypted),-- support information, device programming or simulation file, and any other-- associated documentation or information provided by Altera or a partner-- under Altera's Megafunction Partnership Program may be used only-- to program PLD devices (but not masked PLD devices) from Altera. Any-- other use of such megafunction design, netlist, support information,-- device programming or simulation file, or any other related documentation-- or information is prohibited for any other purpose, including, but not-- limited to modification, reverse engineering, de-compiling, or use with-- any other silicon devices, unless such use is explicitly licensed under-- a separate agreement with Altera or a megafunction partner. Title to the-- intellectual property, including patents, copyrights, trademarks, trade-- secrets, or maskworks, embodied in any such megafunction design, netlist,-- support information, device programming or simulation file, or any other-- related documentation or information provided by Altera or a megafunction-- partner, remains with Altera, the megafunction partner, or their respective-- licensors. No other licenses, including any licenses needed under any third-- party's intellectual property, are provided herein.-- pragma translate_off-- Quartus II 4.0 Build 214 1/28/2004---START_PACKAGE_HEADER--------------------------------------------------------- Package Name : ALTERA_DEVICE_FAMILIES---- Description : Common Altera device families comparison-----END_PACKAGE_HEADER---------------------------------------------------------- BEGINING OF PRIMITIVESLibrary ieee;use ieee.std_logic_1164.all;entity LCELL is port( a_in : in std_logic; a_out : out std_logic);end LCELL;architecture BEHAVIOR of LCELL isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity GLOBAL is port( a_in : in std_logic; a_out : out std_logic);end GLOBAL;architecture BEHAVIOR of GLOBAL isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity CARRY is port( a_in : in std_logic; a_out : out std_logic);end CARRY;architecture BEHAVIOR of CARRY isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity CASCADE is port( a_in : in std_logic; a_out : out std_logic);end CASCADE;architecture BEHAVIOR of CASCADE isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity CARRY_SUM is port( sin : in std_logic; cin : in std_logic; sout : out std_logic; cout : out std_logic);end CARRY_SUM;architecture BEHAVIOR of CARRY_SUM isbegin sout <= sin; cout <= cin;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity EXP is port( a_in : in std_logic; a_out : out std_logic);end EXP;architecture BEHAVIOR of EXP isbegin a_out <= not a_in;end BEHAVIOR;-- BEGINING OF PACKAGESLibrary ieee;use ieee.std_logic_1164.all;-- PACKAGE DECLARATIONpackage ALTERA_DEVICE_FAMILIES is-- FUNCTION DECLARATION function IS_FAMILY_ACEX1K (device : in string) return boolean; function IS_FAMILY_APEX20K (device : in string) return boolean; function IS_FAMILY_APEX20KC (device : in string) return boolean; function IS_FAMILY_APEX20KE (device : in string) return boolean; function IS_FAMILY_APEXII (device : in string) return boolean; function IS_FAMILY_EXCALIBUR_ARM (device : in string) return boolean; function IS_FAMILY_FLEX10KE (device : in string) return boolean; function IS_FAMILY_MERCURY (device : in string) return boolean; function IS_FAMILY_STRATIX (device : in string) return boolean; function IS_FAMILY_STRATIXGX (device : in string) return boolean; function IS_FAMILY_CYCLONE (device : in string) return boolean; function IS_FAMILY_MAXII (device : in string) return boolean; function IS_FAMILY_HARDCOPYSTRATIX (device : in string) return boolean; function IS_FAMILY_STRATIXII (device : in string) return boolean; function IS_FAMILY_STRATIXIIGX (device : in string) return boolean; function IS_FAMILY_CYCLONEII (device : in string) return boolean; function IS_FAMILY_HARDCOPYII (device : in string) return boolean; function FEATURE_FAMILY_STRATIXGX (device : in string) return boolean; function FEATURE_FAMILY_CYCLONE (device : in string) return boolean; function FEATURE_FAMILY_STRATIXII (device : in string) return boolean; function FEATURE_FAMILY_STRATIX_HC (device : in string) return boolean; function FEATURE_FAMILY_STRATIX (device : in string) return boolean; function FEATURE_FAMILY_MAXII (device : in string) return boolean; function FEATURE_FAMILY_CYCLONEII (device : in string) return boolean; function FEATURE_FAMILY_HAS_MEGARAM (device : in string) return boolean; function FEATURE_FAMILY_HAS_M512 (device : in string) return boolean; function FEATURE_FAMILY_HAS_STRATIXII_STYLE_RAM (device : in string) return boolean; function FEATURE_FAMILY_HAS_STRATIX_STYLE_PLL (device : in string) return boolean; function FEATURE_FAMILY_HAS_STRATIXII_STYLE_PLL (device : in string) return boolean; function FEATURE_FAMILY_HAS_FLEXIBLE_LVDS (device : in string) return boolean; function FEATURE_FAMILY_HAS_INVERTED_OUTPUT_DDIO (device : in string) return boolean; function IS_VALID_FAMILY (device: in string) return boolean;end ALTERA_DEVICE_FAMILIES;package body ALTERA_DEVICE_FAMILIES isfunction IS_FAMILY_ACEX1K (device : in string) return boolean isvariable is_acex1k : boolean := false;begin if ((device = "ACEX1K") or (device = "acex1k") or (device = "ACEX 1K") or (device = "acex 1k")) then is_acex1k := true; end if; return is_acex1k;end IS_FAMILY_ACEX1K;function IS_FAMILY_APEX20K (device : in string) return boolean isvariable is_apex20k : boolean := false;begin if ((device = "APEX20K") or (device = "apex20k") or (device = "APEX 20K") or (device = "apex 20k") or (device = "RAPHAEL") or (device = "raphael")) then is_apex20k := true; end if; return is_apex20k;end IS_FAMILY_APEX20K;function IS_FAMILY_APEX20KC (device : in string) return boolean isvariable is_apex20kc : boolean := false;begin if ((device = "APEX20KC") or (device = "apex20kc") or (device = "APEX 20KC") or (device = "apex 20kc")) then is_apex20kc := true; end if; return is_apex20kc;end IS_FAMILY_APEX20KC;function IS_FAMILY_APEX20KE (device : in string) return boolean isvariable is_apex20ke : boolean := false;begin if ((device = "APEX20KE") or (device = "apex20ke") or (device = "APEX 20KE") or (device = "apex 20ke")) then is_apex20ke := true; end if; return is_apex20ke;end IS_FAMILY_APEX20KE;function IS_FAMILY_APEXII (device : in string) return boolean isvariable is_apexii : boolean := false;begin if ((device = "APEX II") or (device = "apex ii") or (device = "APEXII") or (device = "apexii") or (device = "APEX 20KF") or (device = "apex 20kf") or (device = "APEX20KF") or (device = "apex20kf")) then is_apexii := true; end if; return is_apexii;end IS_FAMILY_APEXII;function IS_FAMILY_EXCALIBUR_ARM (device : in string) return boolean isvariable is_excalibur_arm : boolean := false;begin if ((device = "EXCALIBUR_ARM") or (device = "excalibur_arm") or (device = "Excalibur ARM") or (device = "EXCALIBUR ARM") or (device = "excalibur arm") or (device = "ARM-BASED EXCALIBUR") or (device = "arm-based excalibur") or (device = "ARM_BASED_EXCALIBUR") or (device = "arm_based_excalibur")) then is_excalibur_arm := true; end if; return is_excalibur_arm;end IS_FAMILY_EXCALIBUR_ARM;function IS_FAMILY_FLEX10KE (device : in string) return boolean isvariable is_flex10ke : boolean := false;begin if ((device = "FLEX10KE") or (device = "flex10ke") or (device = "FLEX 10KE") or (device = "flex 10ke")) then is_flex10ke := true; end if; return is_flex10ke;end IS_FAMILY_FLEX10KE;function IS_FAMILY_MERCURY (device : in string) return boolean isvariable is_mercury : boolean := false;begin if ((device = "Mercury") or (device = "MERCURY") or (device = "mercury") or (device = "DALI") or (device = "dali")) then is_mercury := true; end if; return is_mercury;end IS_FAMILY_MERCURY;function IS_FAMILY_STRATIX (device : in string) return boolean isvariable is_stratix : boolean := false;begin if ((device = "Stratix") or (device = "STRATIX") or (device = "stratix") or (device = "Yeager") or (device = "YEAGER") or (device = "yeager")) then is_stratix := true; end if; return is_stratix;end IS_FAMILY_STRATIX;function IS_FAMILY_STRATIXGX (device : in string) return boolean isvariable is_stratixgx : boolean := false;begin if ((device = "Stratix GX") or (device = "STRATIX GX") or (device = "stratix gx") or (device = "Stratix-GX") or (device = "STRATIX-GX") or (device = "stratix-gx") or (device = "StratixGX") or (device = "STRATIXGX") or (device = "stratixgx") or (device = "Aurora") or (device = "AURORA") or (device = "aurora")) then is_stratixgx := true; end if; return is_stratixgx;end IS_FAMILY_STRATIXGX;function IS_FAMILY_CYCLONE (device : in string) return boolean isvariable is_cyclone : boolean := false;begin if ((device = "Cyclone") or (device = "CYCLONE") or (device = "cyclone") or (device = "ACEX2K") or (device = "acex2k") or (device = "ACEX 2K") or (device = "acex 2k") or (device = "Tornado") or (device = "TORNADO") or (device = "tornado")) then is_cyclone := true; end if; return is_cyclone;end IS_FAMILY_CYCLONE;function IS_FAMILY_MAXII (device : in string) return boolean isvariable is_maxii : boolean := false;begin if ((device = "MAX II") or (device = "max ii") or (device = "MAXII") or (device = "maxii") or (device = "Tsunami") or (device = "TSUNAMI") or (device = "tsunami")) then is_maxii := true; end if; return is_maxii;end IS_FAMILY_MAXII;function IS_FAMILY_HARDCOPYSTRATIX (device : in string) return boolean isvariable is_hardcopystratix : boolean := false;begin if ((device = "HardCopy Stratix") or (device = "HARDCOPY STRATIX") or (device = "hardcopy stratix") or (device = "Stratix HC") or (device = "STRATIX HC") or (device = "stratix hc") or (device = "StratixHC") or (device = "STRATIXHC") or (device = "stratixhc") or (device = "HardcopyStratix") or (device = "HARDCOPYSTRATIX") or (device = "hardcopystratix")) then is_hardcopystratix := true; end if; return is_hardcopystratix;end IS_FAMILY_HARDCOPYSTRATIX;function IS_FAMILY_STRATIXII (device : in string) return boolean isvariable is_stratixii : boolean := false;begin if ((device = "Stratix II") or (device = "STRATIX II") or (device = "stratix ii") or (device = "StratixII") or (device = "STRATIXII") or (device = "stratixii") or (device = "Armstrong") or (device = "ARMSTRONG") or (device = "armstrong")) then is_stratixii := true; end if; return is_stratixii;end IS_FAMILY_STRATIXII;function IS_FAMILY_STRATIXIIGX (device : in string) return boolean isvariable is_stratixiigx : boolean := false;begin if ((device = "Stratix II GX") or (device = "STRATIX II GX") or (device = "stratix ii gx") or (device = "StratixIIGX") or (device = "STRATIXIIGX") or (device = "stratixiigx")) then is_stratixiigx := true; end if; return is_stratixiigx;end IS_FAMILY_STRATIXIIGX;function IS_FAMILY_CYCLONEII (device : in string) return boolean isvariable is_cycloneii : boolean := false;begin if ((device = "Cyclone II") or (device = "CYCLONE II") or (device = "cyclone ii") or (device = "Cycloneii") or (device = "CYCLONEII") or (device = "cycloneii") or (device = "Magellan") or (device = "MAGELLAN") or (device = "magellan")) then is_cycloneii := true; end if; return is_cycloneii;end IS_FAMILY_CYCLONEII;function IS_FAMILY_HARDCOPYII (device : in string) return boolean isvariable is_hardcopyii : boolean := false;begin if ((device = "HardCopy II") or (device = "HARDCOPY II") or (device = "hardcopy ii") or (device = "HardCopyII") or (device = "HARDCOPYII") or (device = "hardcopyii") or (device = "Fusion") or (device = "FUSION") or (device = "fusion")) then is_hardcopyii := true; end if; return is_hardcopyii;end IS_FAMILY_HARDCOPYII;function FEATURE_FAMILY_STRATIXGX (device : in string) return boolean isvariable var_family_stratixgx : boolean := false;begin if (IS_FAMILY_STRATIXGX(device) ) then var_family_stratixgx := true; end if; return var_family_stratixgx;end FEATURE_FAMILY_STRATIXGX;function FEATURE_FAMILY_CYCLONE (device : in string) return boolean isvariable var_family_cyclone : boolean := false;begin if (IS_FAMILY_CYCLONE(device) ) then var_family_cyclone := true; end if; return var_family_cyclone;end FEATURE_FAMILY_CYCLONE;function FEATURE_FAMILY_STRATIXII (device : in string) return boolean isvariable var_family_stratixii : boolean := false;begin
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -