⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 umc_simprims.vhd

📁 free hardware ip core about sparcv8,a soc cpu in vhdl
💻 VHD
📖 第 1 页 / 共 2 页
字号:
	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (8, 32) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_128wx32b is  port (	a    : in  std_logic_vector(6 downto 0);	data : in  std_logic_vector(31 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(31 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_128wx32b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (7, 32) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_64wx32b is  port (	a    : in  std_logic_vector(5 downto 0);	data : in  std_logic_vector(31 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(31 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_64wx32b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (6, 32) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_32wx32b is  port (	a    : in  std_logic_vector(4 downto 0);	data : in  std_logic_vector(31 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(31 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_32wx32b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (5, 32) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_2048wx40b is  port (	a    : in  std_logic_vector(10 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_2048wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (11, 40) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_1024wx40b is  port (	a    : in  std_logic_vector(9 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_1024wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (10, 40) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_512wx40b is  port (	a    : in  std_logic_vector(8 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_512wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (9, 40) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_256wx40b is  port (	a    : in  std_logic_vector(7 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_256wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (8, 40) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_128wx40b is  port (	a    : in  std_logic_vector(6 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_128wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (7, 40) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_64wx40b is  port (	a    : in  std_logic_vector(5 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_64wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (6, 40) port map (a, data, csn, wen, oen, q, clk);end;library ieee;use ieee.std_logic_1164.all;entity SRAM_32wx40b is  port (	a    : in  std_logic_vector(4 downto 0);	data : in  std_logic_vector(39 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(39 downto 0);	clk  : in  std_logic       );end;architecture behav of SRAM_32wx40b is  component UMC_SIM_SRAM is  generic (abits, dbits : integer := 8);  port (	a    : in  std_logic_vector(abits-1 downto 0);	data : in  std_logic_vector(dbits-1 downto 0);	csn  : in  std_logic;	wen  : in  std_logic;	oen  : in  std_logic;	q    : out std_logic_vector(dbits-1 downto 0);	clk  : in  std_logic       );  end component;begin m : UMC_SIM_SRAM generic map (5, 40) port map (a, data, csn, wen, oen, q, clk);end;-- pragma translate_on

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -