⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 orca_ecmem.vhd

📁 free hardware ip core about sparcv8,a soc cpu in vhdl
💻 VHD
📖 第 1 页 / 共 5 页
字号:
        ad0, ad1, ad2, ad3, ad4, ad5, ad6, ad7, ad8            : in std_logic := 'X';        ad9, ad10, ad11, ad12                                  : in std_logic := 'X';        ce, clk, we, cs0, cs1, cs2, rst                        : in std_logic := 'X';        do0, do1, do2, do3, do4, do5, do6, do7, do8            : out std_logic := 'X';        do9, do10, do11, do12, do13, do14, do15, do16, do17    : out std_logic := 'X'  );      ATTRIBUTE Vital_Level0 OF sp8ka : ENTITY IS TRUE;END sp8ka ;architecture V of sp8ka issignal lo: std_logic := '0';signal hi: std_logic := '1';component dp8kaGENERIC(        DATA_WIDTH_A : in Integer;        DATA_WIDTH_B : in Integer;        REGMODE_A    : in String;        REGMODE_B    : in String;        RESETMODE    : in String;        CSDECODE_A   : in String;        CSDECODE_B   : in String;        WRITEMODE_A  : in String;        WRITEMODE_B  : in String;        GSR : in String;        initval_00 : in string;        initval_01 : in string;        initval_02 : in string;        initval_03 : in string;        initval_04 : in string;        initval_05 : in string;        initval_06 : in string;        initval_07 : in string;        initval_08 : in string;        initval_09 : in string;        initval_0a : in string;        initval_0b : in string;        initval_0c : in string;        initval_0d : in string;        initval_0e : in string;        initval_0f : in string;        initval_10 : in string;        initval_11 : in string;        initval_12 : in string;        initval_13 : in string;        initval_14 : in string;        initval_15 : in string;        initval_16 : in string;        initval_17 : in string;        initval_18 : in string;        initval_19 : in string;        initval_1a : in string;        initval_1b : in string;        initval_1c : in string;        initval_1d : in string;        initval_1e : in string;        initval_1f : in string);PORT(        dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8            : in std_logic;        dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17    : in std_logic;        ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8            : in std_logic;        ada9, ada10, ada11, ada12                                       : in std_logic;        cea, clka, wea, csa0, csa1, csa2, rsta                          : in std_logic;        dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8            : in std_logic;        dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17    : in std_logic;        adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8            : in std_logic;        adb9, adb10, adb11, adb12                                       : in std_logic;        ceb, clkb, web, csb0, csb1, csb2, rstb                          : in std_logic;        doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8            : out std_logic;        doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17    : out std_logic;        dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8            : out std_logic;        dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17    : out std_logic  );END COMPONENT;begin    -- component instantiation statements  dp8ka_inst : dp8ka  generic map (DATA_WIDTH_A => DATA_WIDTH,               DATA_WIDTH_B => DATA_WIDTH,               REGMODE_A    => REGMODE,               REGMODE_B    => REGMODE,               RESETMODE    => RESETMODE,               CSDECODE_A   => CSDECODE,               CSDECODE_B   => CSDECODE,               WRITEMODE_A  => WRITEMODE,               WRITEMODE_B  => WRITEMODE,               GSR => GSR,        initval_00 => initval_00,        initval_01 => initval_01,        initval_02 => initval_02,        initval_03 => initval_03,        initval_04 => initval_04,        initval_05 => initval_05,        initval_06 => initval_06,        initval_07 => initval_07,        initval_08 => initval_08,        initval_09 => initval_09,        initval_0a => initval_0a,        initval_0b => initval_0b,        initval_0c => initval_0c,        initval_0d => initval_0d,        initval_0e => initval_0e,        initval_0f => initval_0f,        initval_10 => initval_10,        initval_11 => initval_11,        initval_12 => initval_12,        initval_13 => initval_13,        initval_14 => initval_14,        initval_15 => initval_15,        initval_16 => initval_16,        initval_17 => initval_17,        initval_18 => initval_18,        initval_19 => initval_19,        initval_1a => initval_1a,        initval_1b => initval_1b,        initval_1c => initval_1c,        initval_1d => initval_1d,        initval_1e => initval_1e,        initval_1f => initval_1f)  port map (dia0 => di0, dia1 => di1, dia2 => di2, dia3 => di3,  dia4 => di4, dia5 => di5, dia6 => di6, dia7 => di7, dia8 => di8,  dia9 => di9, dia10 => di10, dia11 => di11, dia12 => di12, dia13 => di13,  dia14 => di14, dia15 => di15, dia16 => di16, dia17 => di17, dib0 => lo,  dib1 => lo, dib2 => lo, dib3 => lo, dib4 => lo, dib5 => lo,  dib6 => lo, dib7 => lo, dib8 => lo, dib9 => lo, dib10 => lo,  dib11 => lo, dib12 => lo, dib13 => lo, dib14 => lo, dib15 => lo,  dib16 => lo, dib17 => lo,  cea => ce, clka => clk, wea => we, csa0 => cs0, csa1 => cs1, csa2 => cs2,  rsta => rst, ada0 => ad0, ada1 => ad1, ada2 => ad2, ada3 => ad3,  ada4 => ad4, ada5 => ad5, ada6 => ad6, ada7 => ad7, ada8 => ad8,  ada9 => ad9, ada10 => ad10, ada11 => ad11, ada12 => ad12,  ceb => lo, clkb => lo, web => lo, csb0 => lo, csb1 => lo, csb2 => lo,  rstb => hi, adb0 => lo, adb1 => lo, adb2 => lo, adb3 => lo,  adb4 => lo, adb5 => lo, adb6 => lo, adb7 => lo, adb8 => lo,  adb9 => lo, adb10 => lo, adb11 => lo, adb12 => lo,   dob0 => open, dob1 => open, dob2 => open, dob3 => open,  dob4 => open, dob5 => open, dob6 => open, dob7 => open, dob8 => open,  dob9 => open, dob10 => open, dob11 => open, dob12 => open, dob13 => open,  dob14 => open, dob15 => open, dob16 => open, dob17 => open, doa0 => do0,  doa1 => do1, doa2 => do2, doa3 => do3, doa4 => do4, doa5 => do5,  doa6 => do6, doa7 => do7, doa8 => do8, doa9 => do9, doa10 => do10,  doa11 => do11, doa12 => do12, doa13 => do13, doa14 => do14, doa15 => do15,  doa16 => do16, doa17 => do17);end V;-------cell pdp8ka------library ieee;use ieee.std_logic_1164.all;use ieee.vital_timing.all;use ieee.vital_primitives.all;library ec; use ec.global.gsrnet;use ec.global.purnet;use ec.mem3.all;-- entity declaration --ENTITY pdp8ka IS   GENERIC (        DATA_WIDTH_W              : Integer  := 18;        DATA_WIDTH_R              : Integer  := 18;        REGMODE                   : String  := "NOREG";        RESETMODE                  : String  := "ASYNC";        CSDECODE_W                 : String  := "000";        CSDECODE_R                 : String  := "000";        GSR               : String  := "ENABLED";        initval_00 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_01 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_02 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_03 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_04 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_05 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_06 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_07 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_08 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_09 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_0a : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_0b : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_0c : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_0d : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_0e : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_0f : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_10 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_11 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_12 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_13 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_14 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_15 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_16 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_17 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_18 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_19 : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_1a : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_1b : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_1c : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_1d : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_1e : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000";        initval_1f : String := "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000");   PORT(        di0, di1, di2, di3, di4, di5, di6, di7, di8            : in std_logic := 'X';        di9, di10, di11, di12, di13, di14, di15, di16, di17    : in std_logic := 'X';        di18, di19, di20, di21, di22, di23, di24, di25, di26   : in std_logic := 'X';        di27, di28, di29, di30, di31, di32, di33, di34, di35   : in std_logic := 'X';        adw0, adw1, adw2, adw3, adw4, adw5, adw6, adw7, adw8   : in std_logic := 'X';        adw9, adw10, adw11, adw12                              : in std_logic := 'X';        cew, clkw, we, csw0, csw1, csw2                        : in std_logic := 'X';        adr0, adr1, adr2, adr3, adr4, adr5, adr6, adr7, adr8   : in std_logic := 'X';        adr9, adr10, adr11, adr12                              : in std_logic := 'X';        cer, clkr, csr0, csr1, csr2, rst                       : in std_logic := 'X';        do0, do1, do2, do3, do4, do5, do6, do7, do8            : out std_logic := 'X';        do9, do10, do11, do12, do13, do14, do15, do16, do17    : out std_logic := 'X';        do18, do19, do20, do21, do22, do23, do24, do25, do26   : out std_logic := 'X';        do27, do28, do29, do30, do31, do32, do33, do34, do35   : out std_logic := 'X'  );      ATTRIBUTE Vital_Level0 OF pdp8ka : ENTITY IS TRUE;END pdp8ka ;architecture V of pdp8ka issignal lo: std_logic := '0';component dp8kaGENERIC(        DATA_WIDTH_A : in Integer;        DATA_WIDTH_B : in Integer;        REGMODE_A    : in String;        REGMODE_B    : in String;        RESETMODE    : in String;        CSDECODE_A   : in String;        CSDECODE_B   : in String;        GSR : in String;        initval_00 : in string;        initval_01 : in string;        initval_02 : in string;        initval_03 : in string;        initval_04 : in string;        initval_05 : in string;        initval_06 : in string;        initval_07 : in string;        initval_08 : in string;        initval_09 : in string;        initval_0a : in string;        initval_0b : in string;        initval_0c : in string;        initval_0d : in string;        initval_0e : in string;        initval_0f : in string;        initval_10 : in string;        initval_11 : in string;        initval_12 : in string;        initval_13 : in string;        initval_14 : in string;        initval_15 : in string;        initval_16 : in string;        initval_17 : in string;        initval_18 : in string;        initval_19 : in string;        initval_1a : in string;        initval_1b : in string;        initval_1c : in string;        initval_1d : in string;        initval_1e : in string;        initval_1f : in string);PORT(        dia0, dia1, dia2, dia3, dia4, dia5, dia6, dia7, dia8            : in std_logic;        dia9, dia10, dia11, dia12, dia13, dia14, dia15, dia16, dia17    : in std_logic;        ada0, ada1, ada2, ada3, ada4, ada5, ada6, ada7, ada8            : in std_logic;        ada9, ada10, ada11, ada12                                       : in std_logic;        cea, clka, wea, csa0, csa1, csa2, rsta                          : in std_logic;        dib0, dib1, dib2, dib3, dib4, dib5, dib6, dib7, dib8            : in std_logic;        dib9, dib10, dib11, dib12, dib13, dib14, dib15, dib16, dib17    : in std_logic;        adb0, adb1, adb2, adb3, adb4, adb5, adb6, adb7, adb8            : in std_logic;        adb9, adb10, adb11, adb12                                       : in std_logic;        ceb, clkb, web, csb0, csb1, csb2, rstb                          : in std_logic;        doa0, doa1, doa2, doa3, doa4, doa5, doa6, doa7, doa8            : out std_logic;        doa9, doa10, doa11, doa12, doa13, doa14, doa15, doa16, doa17    : out std_logic;        dob0, dob1, dob2, dob3, dob4, dob5, dob6, dob7, dob8            : out std_logic;        dob9, dob10, dob11, dob12, dob13, dob14, dob15, dob16, dob17    : out std_logic  );END COMPONENT;begin    -- component instantiation statements  dp8ka_inst : dp8ka  generic map (DATA_WIDTH_A => DATA_WIDTH_W,               DATA_WIDTH_B => DATA_WIDTH_R,               REGMODE_A    => 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -